Name
Papers
Collaborators
CHARLES H.-P. WEN
52
93
Citations 
PageRank 
Referers 
97
20.17
244
Referees 
References 
777
359
Search Limit
100777
Title
Citations
PageRank
Year
Generating Layouts of Standard Cells by Implicit Learning on Design Rules for Advanced Processes.00.342021
AMSER-FF: Area-Minimized Soft-Error-Recoverable Flip-Flop for Radiation Hardening00.342021
A Delay-Adjustable, Self-Testable Flip-Flop for Soft-Error Tolerability and Delay-Fault Testability00.342021
DAD-FF: Hardening Designs by Delay-Adjustable D-Flip-Flop for Soft-Error-Rate Reduction30.492020
Roadrunner: Autonomous Intersection Management with Dynamic Lane Assignment00.342020
Radiation-Harden RISC Processor for Micro-Satellites in Standard CMOS00.342020
Safcast: Smart Inter-Datacenter Multicast Transfer With Deadline Guarantee By Store-And-Forwarding00.342020
Speeding Up Functional Timing Analysis by Concise Formulation of Timed Characteristic Functions00.342020
SDPTA: Soft-Delay-aware Pattern-based Timing Analysis and Its Path-Fixing Mechanism00.342020
Dynamic Switch Migration in Distributed Software-Defined Networks to Achieve Controller Load Balance80.502019
FAE: Autoencoder-Based Failure Binning of RTL Designs for Verification and Debugging00.342019
Parcel-Fit: Low Network-Overhead Service-Chain Deployment for Better Datacenter Performance00.342019
P4-TPG: Accelerating Deterministic Parallel Test Pattern Generation by Preemptive, Proactive, and Preventive Schedulings.00.342019
In-network Congestion-aware Load Balancing at Transport Layer00.342018
Online task scheduler in 3D-MCPs with TADVA.00.342018
Accurate performance evaluation of VLSI designs with selected CMOS process parameters.00.342018
Unleashing Parallelism With Minimal Test Inflation in Multi-Threaded Test Pattern Generation.00.342018
Skew-Aware Functional Timing Analysis Against Setup Violation for Post-Layout Validation00.342018
Improving Quality of Experience of Service-Chain Deployment for Multiple Users00.342018
Tvm: Tabular Vm Migration For Reducing Hop Violations Of Service Chains In Cloud Datacenters00.342017
Radiation-Hardened Designs for Soft-Error-Rate Reduction by Delay-Adjustable D-Flip-Flops.10.402017
FASIC: A Fast-Recovery, Adaptively Spanning In-Band Control Plane in Software-Defined Network.00.342017
Accelerating functional timing analysis with encoding duplication removal and redundant state propagation.00.342017
Coupling-Aware Functional Timing Analysis for Tighter Bounds: How Much Margin Can We Relax?00.342017
Speeding up power verification by merging equivalent power domains in RTL design with UPF10.372017
Layout-Based Soft Error Rate Estimation Framework Considering Multiple Transient Faults - From Device to Circuit Level.50.472016
Speed binning with high-quality structural patterns from functional timing analysis (FTA)00.342016
Fast-yet-accurate variation-aware current and voltage modelling of radiation-induced transient fault20.482016
Reducing network cost of minimal-migration based VM management in cloud datacenters00.342016
TA-FTA: transition-aware functional timing analysis with a four-valued encoding30.442015
A Determinate Radiation Hardened Technique for Safety-Critical CMOS Designs20.382015
An online thermal-constrained task scheduler for 3D multi-core processors60.442015
EQVMP: Energy-efficient and QoS-aware virtual machine placement for software defined datacenter networks140.622014
Advanced Soft-Error-Rate (SER) Estimation with Striking-Time and Multi-Cycle Effects40.442014
Fall Detection by a SVM-Based Cloud System with Motion Sensors.30.422013
Process-variation-aware Iddq diagnosis for nano-scale CMOS designs - the first step00.342013
Fast-Yet-Accurate Statistical Soft-Error-Rate Analysis Considering Full-Spectrum Charge Collection80.552013
D2ENDIST-FM: Flow migration in routing of OpenFlow-based cloud networks.00.342013
Statistical Soft Error Rate (SSER) Analysis for Scaled CMOS Designs10.352012
Spatial-correlation-aware soft error rate analysis using quasi-importance sampling10.382012
D2ENDIST: Dynamic and disjoint ENDIST-based layer-2 routing algorithm for cloud datacenters00.342012
Diagnosing Multiple Byzantine Open-Segment Defects Using Integer Linear Programming.00.342011
Accurate statistical soft error rate (SSER) analysis using a quasi-Monte Carlo framework with quality cell models140.712010
Portable Simulation/Emulation Stimulus On An Industrial-Strength Soc00.342009
Speeding Up Bounded Sequential Equivalence Checking With Cross-Timeframe State-Pair Constraints From Data Learning00.342009
On soft error rate analysis of scaled CMOS designs — A statistical perspective40.442009
An incremental learning framework for estimating signal controllability in unit-level verification30.432007
Extracting a simplified view of design functionality based on vector simulation50.472006
Simulation-based functional test justification using a decision-digram-based Boolean data miner10.362006
Extracting a simplified view of design functionality via vector simulation00.342006
  • 1
  • 2