Name
Affiliation
Papers
HIROYUKI OCHI
Ritsumeikan Univ, Kyoto, Japan
57
Collaborators
Citations 
PageRank 
118
215
44.57
Referers 
Referees 
References 
514
688
292
Search Limit
100688
Title
Citations
PageRank
Year
MUX Granularity Oriented Iterative Technology Mapping for Implementing Compute-Intensive Applications on Via-Switch FPGA.00.342021
Nonvolatile SRAM Using Fishbone-in-Cage Capacitor in a 180 nm Standard CMOS Process for Zero-Standby and Instant-Powerup Embedded Memory on IoT00.342021
33.3 Via-Switch FPGA - 65nm CMOS Implementation and Architecture Extension for Al Applications.00.342020
Sensor Signal Processing Using High-Level Synthesis With a Layered Architecture.00.342018
Via-Switch FPGA: Highly Dense Mixed-Grained Reconfigurable Architecture With Overlay Via-Switch Crossbars.30.542018
Area-Efficient Lut-Like Programmable Logic Using Atom Switch And Its Delay-Optimal Mapping Algorithm00.342017
Placement algorithm for mixed-grained reconfigurable architecture with dedicated carry chain.00.342017
Range Limiter Using Connection Bounding Box For Sa-Based Placement Of Mixed-Grained Reconfigurable Architecture00.342016
A highly-dense mixed grained reconfigurable architecture with overlay crossbar interconnect using via-switch20.402016
Reliability-configurable mixed-grained reconfigurable array compatible with high-level synthesis00.342015
A −0.5V-input voltage booster circuit for on-chip solar cells in 0.18µm CMOS technology00.342015
An Error Correction Scheme Through Time Redundancy For Enhancing Persistent Soft-Error Tolerance Of Cgras00.342015
Reliability-Configurable Mixed-Grained Reconfigurable Array Supporting C-Based Design And Its Irradiation Testing30.542014
Experimental validation of minimum operating-voltage-estimation for low supply voltage circuits00.342014
High-speed DFG-level SEU vulnerability analysis for applying selective TMR to resource-constrained CGRA10.352013
Fast and memory-efficient GPU implementations of krylov subspace methods for efficient power grid analysis20.402013
Parallel Acceleration Scheme For Monte Carlo Based Ssta Using Generalized Sta Processing Element00.342013
Multi-trap RTN parameter extraction based on Bayesian inference30.462013
Mixed-Grained Reconfigurable Architecture Supporting Flexible Reliability And C-Based Design00.342013
A Cost-Effective Selective Tmr For Coarse-Grained Reconfigurable Architectures Based On Dfg-Level Vulnerability Analysis00.342013
A cost-effective selective TMR for heterogeneous coarse-grained reconfigurable architectures based on DFG-level vulnerability analysis30.412013
Realization of frequency-domain circuit analysis through random walk00.342013
Hot-swapping architecture with back-biased testing for mitigation of permanent faults in functional unit array10.372013
A Variability-Aware Energy-Minimization Strategy For Subthreshold Circuits10.372012
Bayesian Estimation Of Multi-Trap Rtn Parameters Using Markov Chain Monte Carlo Method10.482012
A high-throughput pipelined parallel architecture for JPEG XR encoding10.412012
A fully pipelined implementation of Monte Carlo based SSTA on FPGAs10.362011
Acceleration of random-walk-based linear circuit analysis using importance sampling30.412011
A design strategy for sub-threshold circuits considering energy-minimization and yield-maximization10.372011
Reliability Evaluation Environment For Exploring Design Space Of Coarse-Grained Reconfigurable Architectures50.622010
Sequential importance sampling for low-probability and high-dimensional SRAM yield analysis110.752010
Performance Evaluation and ASIC Design of LDPC Decoder for IEEE802.11n00.342010
A routing architecture exploration for coarse-grained reconfigurable architecture with automated seu-tolerance evaluation.00.342010
Scan based process parameter estimation through path-delay inequalities00.342010
Coarse-grained dynamically reconfigurable architecture with flexible reliability241.212009
An Asynchronous IEEE-754-standard Single-precision Floating-point Divider for FPGA.00.342009
A high-throughput pipelined architecture for JPEG XR encoding40.632009
Efficient Memory Organization Framework For Jpeg2000 Entropy Codec00.342009
Hardware Accelerator For Run-Time Learning Adopted In Object Recognition With Cascade Particle Filter10.392009
Hot-Swapping architecture extension for mitigation of permanent functional unit faults60.592009
Hardware Architecture for HOG Feature Extraction482.712009
Autonomous Repair Fault Tolerant Dynamic Reconfigurable Device10.412008
Network Processor for High-Speed Network and Quick Programming00.342007
Implementation of AV Streaming System Using Peer-to-Peer Communication310.262007
A Simulation Platform for Designing Cell-Array-Based Self-Reconfigurable Architecture00.342007
A Localization Scheme for Sensor Networks Based on Wireless Communication with Anchor Groups30.422006
Pedestrian recognition in far-infrared images by combining boosting-based detection and skeleton-based stochastic tracking60.932006
Autonomous-repair cell for fault tolerant dynamic-reconfigurable devices10.372006
Fault Tolerant Dynamic Reconfigurable Device Based on EDAC with Rollback40.482006
An Integrated Approach of Variable Ordering and Logic Mapping into LUT-Array-Based PLD00.342005
  • 1
  • 2