Name
Affiliation
Papers
MARIOS PAPAEFTHYMIOU
Department of Electrical Engineering and Computer Science, University of Michigan
71
Collaborators
Citations 
PageRank 
65
749
74.79
Referers 
Referees 
References 
1319
963
666
Search Limit
1001000
Title
Citations
PageRank
Year
A 0.23mW Heterogeneous Deep-Learning Processor Supporting Dynamic Execution of Conditional Neural Networks.00.342018
Rethinking Numerical Representations for Deep Neural Networks.00.342018
A 1.25pJ/bit 0.048mm<sup>2</sup> AES core with DPA resistance for IoT devices20.422017
20.7 A 13.8µW binaural dual-microphone digital ANSI S1.11 filter bank for hearing aids with zero-short-circuit-current logic in 65nm CMOS.00.342017
Design Methodology for Synthesizing Resonant Clock Networks in the Presence of Dynamic Voltage/Frequency Scaling.00.342016
A 5.5GHz 0.84TOPS/mm<sup>2</sup> neural network engine with stream architecture and resonant clock mesh00.342016
1.32GHz high-throughput charge-recovery AES core with resistance to DPA attacks110.732015
A 934MHz 9Gb/s 3.2pJ/b/iteration charge-recovery LDPC decoder with in-package inductors00.342015
Synthesis of resonant clock networks supporting dynamic voltage / frequency scaling10.352015
Computational sprinting on a hardware/software testbed241.032013
Utilizing Dark Silicon to Save Energy with Computational Sprinting100.532013
Designing for Responsiveness with Computational Sprinting40.362013
Computational sprinting341.392012
Energy-Efficient Low-Latency 600 MHz FIR With High-Overdrive Charge-Recovery Logic50.462012
A 5.5GS/s 28mW 5-bit flash ADC with resonant clock distribution.30.602011
187 MHz Subthreshold-Supply Charge-Recovery FIR161.332010
Resonant-Clock Latch-Based Design211.372008
A Multi-Mode Power Gating Structure for Low-Voltage Deep-Submicron CMOS ICs312.122007
A 0.8-1.2GHz Single-Phase Resonant-Clocked FIR Filter with Level-Sensitive Latches.10.532007
Energy-Efficient GHz-Class Charge-Recovery Logic221.822007
Skew spreading for peak current reduction30.472007
Parallelizing post-placement timing optimization10.362006
Charge-Recovery Computing on Silicon241.602005
Two-Phase Resonant Clock Distribution50.522005
Boost Logic: A High Speed Energy Recovery Circuit Family40.592005
RIP: An Efficient Hybrid Repeater Insertion Scheme for Low Power10.362005
A GHz-class charge recovery logic00.342005
Multi-Session Partitioning for Parallel Timing Optimization00.342005
Fast, efficient, recovering, and irreversible00.342005
Empirical evaluation of timing and power in resonant clock distribution30.492004
An algorithm for geometric load balancing with two constraints00.342004
Constant-load energy recovery memory for efficient high-speed operation20.462004
Experimental evaluation of resonant clock distribution20.432004
Energy Recovering ASIC Design71.042003
Block-based multiperiod dynamic memory design for low data-retention power223.642003
Design of a 20-Mb/s 256-state viterbi decoder70.502003
A true single-phase energy-recovery multiplier90.742003
HyPE: hybrid power estimation for IP-based programmable systems20.382003
A 225 MHz resonant clocked ASIC chip111.452003
Reduced delay uncertainty in high performance clock distribution networks171.312003
Design of a high-throughput low-power IS95 Viterbi decoder30.542002
Energy recovering static memory40.572002
Incorporation of input glitches into power macromodeling80.622002
A true single-phase 8-bit adiabatic multiplier141.582001
A clock tree topology extraction algorithm for improving the tolerance of clock distribution networks to delay uncertainty100.692001
A static power estimation methodolodgy for IP-based design90.612001
True single-phase adiabatic circuitry212.012001
A resonant clock generator for single-phase adiabatic systems121.372001
Design, verification, and test of a true single-phase 8-bit adiabatic multiplier60.942001
Optimizing computations for effective block-processing70.602000
  • 1
  • 2