Name
Affiliation
Papers
DENNIS SYLVESTER
University of Michigan-Ann Arbor, USA
406
Collaborators
Citations 
PageRank 
513
5295
535.53
Referers 
Referees 
References 
8534
4127
3013
Search Limit
1001000
Title
Citations
PageRank
Year
A Delta Sigma-Modulated Sample and Average Common-Mode Feedback Technique for Capacitively Coupled Amplifiers in a 192-nW Acoustic Analog Front-End00.342022
3.3 A 0.51nW 32kHz Crystal Oscillator Achieving 2ppb Allan Deviation Floor Using High-Energy-to-Noise-Ratio Pulse Injection00.342020
AA-ResNet - Energy Efficient All-Analog ResNet Accelerator.00.342020
An Acoustic Signal Processing Chip With 142-nW Voice Activity Detection Using Mixer-Based Sequential Frequency Scanning and Neural Network Classification.60.482019
5.2 Energy-Efficient Low-Noise CMOS Image Sensor with Capacitor Array-Assisted Charge-Injection SAR ADC for Motion-Triggered Low-Power IoT Applications10.362019
An Efficient Piezoelectric Energy Harvesting Interface Circuit Using a Sense-and-Set Rectifier10.362019
A Reference Oversampling Digital Phase-Locked Loop with -240 dB FOM and -80 dBc Reference Spur00.342019
A 1.74.12 mm3 Fully Integrated pH Sensor for Implantable Applications using Differential Sensing and Drift-Compensation.00.342019
A 224 PW 260 PPM/°C Gate-Leakage-Based Timer for Ultra-Low Power Sensor Nodes with Second-Order Temperature Dependency Cancellation00.342018
Edge pursuit comparator with application in a 74.1dB SNDR, 20KS/s 15b SAR ADC.00.342018
iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor.60.552018
Recryptor: A Reconfigurable Cryptographic Cortex-M0 Processor With In-Memory and Near-Memory Computing for IoT Security.100.682018
A 4 + 2T SRAM for Searching and In-Memory Computing With 0.3-V VDDmin.00.342018
A 2.2 NEF Neural-Recording Amplifier Using Discrete-Time Parametric Amplification00.342018
3.7 A 1920×1080 30fps 2.3TOPS/W stereo-depth processor for robust autonomous navigation00.342017
Analog in-memory subthreshold deep neural network accelerator30.572017
Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices.40.462017
9.2 A 0.6nJ −0.22/+0.19°C inaccuracy temperature sensor using exponential subthreshold oscillation dependence40.952017
Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part II - Data Communication, Energy Harvesting, Power Management, and Digital Circuits.40.562017
21.6 A 12nW always-on acoustic sensing and object recognition microsystem using frequency-domain feature extraction and SVM classification.30.592017
Session 20 overview: Digital voltage regulators and low-power techniques.00.342017
A start-up boosting circuit with 133× speed gain for 2-transistor voltage reference00.342017
Cache Automaton: Repurposing Caches for Automata Processing10.352017
A Fully Integrated Counter Flow Energy Reservoir for Peak Power Delivery in Small Form-Factor Sensor Systems.00.342017
8.8 iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor190.982016
A Dual-Stage, Ultra-Low-Power Acoustic Event Detection System.00.342016
An oscillator collapse-based comparator with application in a 74.1dB SNDR, 20KS/s 15b SAR ADC.20.402016
A 114-pW PMOS-only, trim-free voltage reference with 0.26% within-wafer inaccuracy for nW systems.90.892016
21.5 A current-mode wireless power receiver with optimal resonant cycle tracking for implantable systems.50.532016
5.8 A 4.7nW 13.8ppm/°C self-biased wakeup timer using a switched-resistor scheme70.632016
17.3 A reconfigurable dual-port memory with error detection and correction in 28nm FDSOI.10.352016
Approximate SRAMs With Dynamic Energy-Quality Management.70.532016
21.4 A >78%-efficient light harvester over 100-to-100klux with reconfigurable PV-cell network and MPPT circuit.70.522016
Racetrack Converter: A Low Power And Compact Data Converter Using Racetrack Spintronic Devices10.382015
Better-than-voltage scaling energy reduction in approximate SRAMs via bit dropping and bit reuse00.342015
Nsf Expedition On Variability-Aware Software: Recent Results And Contributions30.372015
A configurable TCAM/BCAM/SRAM using 28nm push-rule 6T bit cell40.472015
A 266nW multi-chopper amplifier with 1.38 noise efficiency factor for neural signal recording50.752014
Low power battery supervisory circuit with adaptive battery health monitor60.562014
A Fully-Integrated 71 nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes.120.962014
An Ultra-Low Power Fully Integrated Energy Harvester Based on Self-Oscillating Switched-Capacitor Voltage Doubler362.072014
Design and Evaluation of Confidence-Driven Error-Resilient Systems20.372014
A 23pW, 780ppm/°C resistor-less current reference using subthreshold MOSFETs70.782014
27.8 A static contention-free single-phase-clocked 24T flip-flop in 45nm for low-power applications70.632014
A Millimeter-Scale Energy-Autonomous Sensor System With Stacked Battery and Solar Cells50.642013
A Statistical Framework for Post-Fabrication Oxide Breakdown Reliability Prediction and Management10.352013
A 0.45V 423nW 3.2MHz multiplying DLL with leakage-based oscillator for ultra-low-power sensor platforms10.382013
Centip3De: a many-core prototype exploring 3D integration and near-threshold computing90.512013
An adaptive write word-line pulse width and voltage modulation architecture for bit-interleaved 8T SRAMs20.422012
A 2.98nW bandgap voltage reference using a self-tuning low leakage sample and hold60.752012
  • 1
  • 2