Name
Affiliation
Papers
OGUZ ERGIN
TOBB Univ Econ & Technol, Dept Comp Engn, Ankara, Turkey
40
Collaborators
Citations 
PageRank 
57
424
25.84
Referers 
Referees 
References 
871
978
698
Search Limit
100978
Title
Citations
PageRank
Year
MoRS: An Approximate Fault Modeling Framework for Reduced-Voltage SRAMs00.342022
Exploiting Row-Level Temporal Locality in DRAM to Reduce the Memory Access Latency.00.342018
Softmc: Practical Dram Characterization Using An Fpga-Based Infrastructure00.342018
Opcode vector: An efficient scheme to detect soft errors in instructions.00.342018
SoftMC: A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM Studies250.532017
GateKeeper: a new hardware architecture for accelerating pre-alignment in DNA short read mapping.120.482017
URFA-Update based register file architecture with partial register write for energy efficiency.00.342016
Error recovery through partial value similarity00.342016
GateKeeper: Enabling Fast Pre-Alignment in DNA Short Read Mapping with a New Streaming Accelerator Architecture.00.342016
Using value similarity of registers for soft error mitigation20.392015
GPU based parallel image processing library for embedded systems00.342014
Exploiting processor features to implement error detection in reduced precision matrix multiplications10.352014
Enhanced Duplication: a Technique to Correct Soft Errors in Narrow Values00.342013
Exploiting replicated checkpoints for soft error detection and correction00.342013
Exploiting Bus Level and Bit Level Inactivity for Preventing Wire Degradation due to Electromigration10.442012
Improving the Soft Error Resilience of the Register Files Using SRAM Bitcells with Built-In Comparators00.342012
Using content-aware bitcells to reduce static energy dissipation10.352011
Reducing the Energy Dissipation of the Issue Queue by Exploiting Narrow Immediate Operands00.342010
Reducing Soft Errors through Operand Width Aware Policies80.552009
Reducing parity generation latency through input value aware circuits20.382009
Modifying the Data-Holding Components of the Microprocessors for Energy Efficiency10.362009
Refueling: Preventing Wire Degradation due to Electromigration90.782008
Using Tag-Match Comparators for Detecting Soft Errors30.382007
Fuse: A Technique to Anticipate Failures due to Degradation in ALUs30.392007
Exploiting Narrow Values for Soft Error Tolerance250.932006
Early Register Deallocation Mechanisms Using Checkpointed Register Files140.632006
Impact of Parameter Variations on Circuits and Microarchitecture521.802006
Empowering a helper cluster through data-width aware instruction selection policies10.352006
Instruction packing: Toward fast and energy-efficient instruction scheduling50.442006
Power-Efficient Wakeup Tag Broadcast60.522005
Instruction packing: reducing power and delay of the dynamic scheduling logic190.662005
Reducing Delay and Power Consumption of the Wakeup Logic Through Instruction Packing and Tag Memoization241.802004
Defining Wakeup Width for Efficient Dynamic Scheduling100.502004
Register Packing: Exploiting Narrow-Width Operands for Reducing Register File Pressure571.762004
Increasing Processor Performance Through Early Register Release341.122004
Power efficient comparators for long arguments in superscalar processors20.382003
Distributed Reorder Buffer Schemes for Low Power40.422003
Energy-efficient issue queue design250.872003
Reducing Datapath Energy through the Isolation of Short-Lived Operands150.712003
Reducing reorder buffer complexity through selective operand caching633.492003