Name
Affiliation
Papers
KAUSHIK ROY
Purdue University, West Lafayette, IN
535
Collaborators
Citations 
PageRank 
531
7093
822.19
Referers 
Referees 
References 
10751
4586
3460
Search Limit
1001000
Title
Citations
PageRank
Year
LwMLA-NET: A Lightweight Multi-Level Attention-Based NETwork for Segmentation of COVID-19 Lungs Abnormalities From CT Images00.342022
Exploring Spike-Based Learning for Neuromorphic Computing - Prospects and Perspectives.00.342021
DCT-SNN - Using DCT to Distribute Spatial Information over Time for Low-Latency Spiking Neural Networks.00.342021
RAMANN: in-SRAM differentiable memory computations for memory-augmented neural networks10.352020
A Low Effort Approach to Structured CNN Design Using PCA.10.372020
Patch-based system for Classification of Breast Histology images using deep learning.70.522019
Stimulating STDP to Exploit Locality for Lifelong Learning without Catastrophic Forgetting.00.342019
Adaptive accelerated aging for 28 nm HKMG technology.00.342018
Energy-Efficient Memories using Magneto-Electric Switching of Ferromagnets.10.482017
Encoding Neural and Synaptic Functionalities in Electron Spin: A Pathway to Efficient Neuromorphic Computing.30.422017
Stochastic Switching Of She-Mtj As A Natural Annealer For Efficient Combinatorial Optimization00.342017
Performance analysis and benchmarking of all-spin spiking neural networks (Special session paper).00.342017
Fast, low power evaluation of elementary functions using radial basis function networks.00.342017
Ising spin model using Spin-Hall Effect (SHE) induced magnetization reversal in Magnetic-Tunnel-Junction.00.342016
Invited - Cross-layer approximations for neuromorphic computing: from devices to circuits and systems.70.502016
Ultra-thin dielectric breakdown in devices and circuits: A brief review.00.342015
Exploring Spin-Transfer-Torque Devices for Logic Applications50.512015
Domain wall motion-based low power hybrid spin-CMOS 5-bit Flash Analog Data Converter30.452015
Approximate computing and the quest for computing efficiency491.512015
Self-Organizing Aerial Mesh Networks For Emergency Communication100.712014
Failure Mitigation Techniques for 1T-1MTJ Spin-Transfer Torque MRAM Bit-cells271.412014
Beyond charge-based computation: Boolean and non-Boolean computing with spin torque devices100.852013
Device-Circuit Co-Optimization for Robust Design of FinFET-Based SRAMs10.392013
Write-optimized reliable design of STT MRAM321.462012
Variation-aware and self-healing design methodology for a system-on-chip10.372012
IMPACT: imprecise adders for low-power approximate computing1476.222011
Novel Low Overhead Post-Silicon Self-Correction Technique for Parallel Prefix Adders Using Selective Redundancy and Adaptive Clocking30.432011
A Read-Disturb-Free, Differential Sensing 1R/1W Port, 8T Bitcell Array50.502011
CRP: A Routing Protocol for Cognitive Radio Ad Hoc Networks1093.802011
Timed Input Pattern Generation for an Accurate Delay Calculation Under Multiple Input Switching10.352010
Parametric failure analysis of embedded SRAMs using fast & accurate dynamic analysis80.622010
Energy-efficient Hardware Architecture and VLSI Implementation of a Polyphase Channelizer with Applications to Subband Adaptive Filtering50.582010
Coping with Variations through System-Level Design20.402009
Read/Access-Preferred (Reap) Sram - Architecture-Aware Bit Cell Design For Improved Yield And Lower V-Min00.342009
Gated Decap: gate leakage control of on-chip decoupling capacitors in scaled technologies30.522009
A Low-Power SRAM Using Bit-Line Charge-Recycling171.192008
Tutorial: SoC Power Management Verification and Testing Issues10.362008
O2C: occasional two-cycle operations for dynamic thermal management in high performance in-order microprocessors40.542008
Modeling of failure probability and statistical design of Spin-Torque Transfer Magnetic Random Access Memory (STT MRAM) array for yield enhancement226.632008
Process variation tolerant SRAM array for ultra low voltage applications251.912008
Estimation of gate-to-channel tunneling current in ultra-thin oxide sub-50nm double gate devices10.442007
Adaptive Supply Voltage For Low-Power Ripple-Carry And Carry-Select Adders00.342007
Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis664.932007
A High Performance, Scalable Multiplexed Keeper Technique10.372007
Characterization and estimation of circuit reliability degradation under NBTI using on-line IDDQ measurement70.952007
A low-power SRAM using bit-line charge-recycling technique20.532007
Finfet Sram: Optimizing Silicon Fin Thickness And Fin Ratio To Improve Stability At Iso Area50.972007
Delay Fault Localization in Test-Per-Scan BIST Using Built-In Delay Sensor10.492006
Minimizing ohmic loss and supply voltage variation using a novel distributed power supply network00.342006
Modeling and Analysis of Leakage Currents in Double-Gate Technologies61.052006
  • 1
  • 2