Title
QoS-Driven Reconfigurable Parallel Computing for NoC-Based Clustered MPSoCs
Abstract
Reconfigurable parallel computing is required to provide high-performance embedded computing, hide hardware complexity, boost software development, and manage multiple workloads when multiple applications are running simultaneously on the emerging network-on-chip (NoC)-based multiprocessor systems-on-chip (MPSoCs) platforms. In these type of systems, the overall system performance may be affected due to congestion, and therefore parallel programming stacks must be assisted by quality-of-service (QoS) support to meet application requirements and to deal with application dynamism. In this paper, we present a hardware-software QoS-driven reconfigurable parallel computing framework, i.e., the NoC services, the runtime QoS middleware API and our ocMPI library and its tracing support which has been tailored for a distributed-shared memory ARM clustered NoC-based MPSoC platform. The experimental results show the efficiency of our software stack under a broad range of parallel kernels and benchmarks, in terms of low-latency interprocess communication, good application scalability, and most important, they demonstrate the ability to enable runtime reconfiguration to manage workloads in message-passing parallel applications.
Year
DOI
Venue
2013
10.1109/TII.2012.2222035
IEEE Trans. Industrial Informatics
Keywords
Field
DocType
tracing support,distributed shared memory systems,runtime qos middleware api,microprocessor chips,parallel programming,benchmarking,quality-of-service,noc-based multiprocessor systems-on-chip (mpsoc),quality of service,hardware complexity,low-latency interprocess communication,quality of service (qos),reconfigurable architectures,system performance,network-on-chip-based multiprocessor system-on-chip platforms,software development,hardware-software qos-driven reconfigurable parallel computing framework,high-performance embedded computing,parallel kernels,parallel programming stacks,workload management,benchmark testing,noc-based clustered mpsoc,software stack,noc services,middleware,message passing,software libraries,parallel computing,embedded systems,message-passing parallel applications,application scalability,networks-on-chip (nocs),distributed-shared memory arm clustered noc-based mpsoc platform,ocmpi library,network-on-chip,runtime reconfiguration,network on chip
Middleware,Computer science,Real-time computing,MPSoC,Benchmark (computing),Software development,Computer architecture,Parallel computing,Network on a chip,Multiprocessing,Inter-process communication,Scalability,Embedded system
Journal
Volume
Issue
ISSN
9
3
1551-3203
Citations 
PageRank 
References 
4
0.41
31
Authors
8
Name
Order
Citations
PageRank
Jaume Joven1384.27
Akash Bagdia260.86
Federico Angiolini359230.83
P. Strid440.41
David Castells-Rufas5457.70
Eduard Fernandez-Alonso691.73
Jordi Carrabina713936.98
Giovanni De Micheli8102451018.13