Title
Intelligent Hotspot Prediction for Network-on-Chip-Based Multicore Systems
Abstract
Hotspots are network-on-chip (NoC) routers or modules in multicore systems which occasionally receive packetized data from other networked element producers at a rate higher than they can consume it. This adverse phenomenon may greatly reduce the performance of NoCs, especially when wormhole flow-control is employed, as backpressure can cause the buffers of neighboring routers to quickly fill-up leading to a spatial spread in congestion. This can cause the network to saturate prematurely where in the worst scenario the NoC may be rendered unrecoverable. Thus, a hotspot prevention mechanism can be greatly beneficial, as it can potentially enable the interconnection system to adjust its behavior and prevent the rise of potential hotspots, subsequently sustaining NoC performance. The inherent unevenness of traffic patterns in an NoC-based general-purpose multicore system such as a chip multiprocessor, due to the diverse and unpredictable access patterns of applications, produces unexpected hotspots whose appearance cannot be known a priori, as application demands are not predetermined, making hotspot prediction and subsequently prevention difficult. In this paper, we present an artificial neural network-based (ANN) hotspot prediction mechanism that can be potentially used in tandem with a hotspot avoidance or congestion-control mechanism to handle unforeseen hotspot formations efficiently. The ANN uses online statistical data to dynamically monitor the interconnect fabric, and reactively predicts the location of an about to-be-formed hotspot(s), allowing enough time for the multicore system to react to these potential hotspots. Evaluation results indicate that a relatively lightweight ANN-based predictor can forecast hotspot formation(s) with an accuracy ranging from 65% to 92%.
Year
DOI
Venue
2012
10.1109/TCAD.2011.2170568
IEEE Trans. on CAD of Integrated Circuits and Systems
Keywords
DocType
Volume
multiprocessing systems,network-on-chip,neural nets,statistical analysis,NoC routers,artificial neural network,chip multiprocessor,congestion control mechanism,flow control wormhole,intelligent hotspot prediction,interconnection system,neighboring routers,network-on-chip,network-on-chip based multicore systems,packetized data,statistical data,Multiprocessor interconnection,neural network hardware,on-chip network,ultralarge-scale integration
Journal
31
Issue
ISSN
Citations 
3
0278-0070
12
PageRank 
References 
Authors
0.58
37
3
Name
Order
Citations
PageRank
elena kakoulli1365.37
Vassos Soteriou242127.62
Theocharis Theocharides320526.83