Title
Exploring Energy Scalability in Coprocessor-Dominated Architectures for Dark Silicon
Abstract
As chip designers face the prospect of increasingly dark silicon, there is increased interest in incorporating energy-efficient specialized coprocessors into general-purpose designs. For specialization to be a viable means of leveraging dark silicon, it must provide energy savings over the majority of execution for large, diverse workloads, and this will require deploying coprocessors in large numbers. Recent work has shown that automatically generated application-specific coprocessors can greatly improve energy efficiency, but it is not clear that current techniques will scale to Coprocessor-Dominated Architectures (CoDAs) with hundreds or thousands of coprocessors. We show that scaling CoDAs to include very large numbers of coprocessors is challenging because of the energy cost of interconnects, the memory system, and leakage. These overheads grow with the number of coprocessors and, left unchecked, will squander the energy gains that coprocessors can provide. The article presents a detailed study of energy costs across a wide range of tiled CoDA designs and shows that careful choice of cache configuration, tile size, coarse-grain power management and transistor implementation can limit the growth of these overheads. For multithreaded workloads, designer must also take care to avoid excessive contention for coprocessors, which can significantly increase energy consumption. The results suggest that, for CoDAs that target larger workloads, amortizing shared overheads via multithreading can provide up to 3.8× reductions in energy per instruction, retaining much of the 5.3× potential of smaller designs.
Year
DOI
Venue
2014
10.1145/2584657
ACM Trans. Embedded Comput. Syst.
Keywords
Field
DocType
application-specific coprocessors,energy gain,energy saving,large number,energy-efficient specialized coprocessors,deploying coprocessors,dark silicon,energy consumption,energy cost,energy efficiency,exploring energy scalability,coprocessor-dominated architectures,coprocessor,coda
Dark silicon,Power management,Multithreading,Cache,Computer science,Efficient energy use,Parallel computing,Real-time computing,Coprocessor,Energy consumption,Scalability,Embedded system
Journal
Volume
Issue
ISSN
13
4s
1539-9087
Citations 
PageRank 
References 
1
0.35
20
Authors
6
Name
Order
Citations
PageRank
Qiaoshi Zheng110.35
Nathan Goulding-Hotta216310.26
Scott Ricketts320.71
Steven Swanson4143482.33
Michael Bedford Taylor530.72
Jack Sampson639832.45