Title
Reducing Datapath Energy through the Isolation of Short-Lived Operands
Abstract
We present a technique for reducing the power dissipation in the course of writebacks and committments in a datapath that uses a dedicated architectural register file (ARF) to hold committed values. Our mechanism capitalizes on the observation that most of the producedregister values are short-lived, meaning that the destination registers targeted by these values are renamed by the time the results are written back. Our technique avoids unnecessary writebacks into the result repository (a slot within the Reorder Buffer or a physical register) as well as writes into the ARF by caching (and isolating) short-lived operands within a small dedicated register file. Operands are cached in this manner till they can be safely discarded without jeopardizing the recovery from possible branch mispredictions or reconstruction of the precise state in case of interrupts or exceptions. The power/energy savings are validated using SPICE measurements of actual layouts in a 0.18 micron CMOS process. The energyreduction in the ROB and the ARF is in the range of 20-25% and this is achieved with no increase in the cycle time, little additional complexity and no IPC drop.
Year
DOI
Venue
2003
10.1109/PACT.2003.1238021
IEEE PACT
Keywords
Field
DocType
short-lived operands,datapath energy,reorder buffer,power dissipation,dedicated architectural register file,ipc drop,small dedicated register file,spice measurement,cycle time,physical register,unnecessary writebacks,instructions per cycle,register file
Instructions per cycle,Datapath,Computer science,Cache,Spice,Parallel computing,Operand,Register file,Real-time computing,Re-order buffer,Memory architecture,Embedded system
Conference
ISBN
Citations 
PageRank 
0-7695-2021-9
15
0.71
References 
Authors
13
4
Name
Order
Citations
PageRank
Dmitry Ponomarev189356.45
Gurhan Kucuk227619.09
Oguz Ergin342425.84
Kanad Ghose41220113.50