Title
Behavioural Modelling of Operational Amplifier Faults Using VHDL-AMS
Abstract
The use of behavioural modelling for operational amplifiers has been well known for many years and previous work has included modelling of specific fault conditions using a macro-model. In this paper, the models are implemented in a more abstract form using an Analogue Hardware Description Language (AHDL), VHDL-AMS, taking advantage of the ability to control the behaviour of the model using high-level fault condition states. The implementation method allows a range of fault conditions to be integrated without switching to a completely new model. The various transistor faults are categorised, and used to characterise the behaviour of the HDL models. Simulations compare the accuracy and speed of the transistor and behavioural level models under a set of representative fault conditions.
Year
DOI
Venue
2002
10.1109/DATE.2002.998491
DATE
Keywords
Field
DocType
operational amplifier,behavioural modelling,operational amplifiers,hardware description language,computer simulation,hardware description languages
Stuck-at fault,Computer science,Automatic testing,Real-time computing,Acoustic testing,VHDL-AMS,Transistor,Computer engineering,Operational amplifier,Hardware design languages,Hardware description language
Conference
ISBN
Citations 
PageRank 
0-7695-1471-5
3
0.45
References 
Authors
6
5
Name
Order
Citations
PageRank
Peter R. Wilson15427.86
J. Neil Ross2377.46
Mark Zwolinski324144.70
Andrew D. Brown421643.94
Y. Kiliç530.45