Title
On the Benefits of Speculative Trace Scheduling in VLIW Processors
Abstract
VLIW processors are statically scheduled processors and their performance depends on the quality of the compiler's scheduler. We propose a scheduling scheme where the application is fir st divided into decision trees and then further split into traces. We have developed a tool "SpliTree" to generate traces automatically. Using dynamic branch prediction for selecting the root of the decision tree from which the traces are scheduled using our scheme, we obtain approximately 1.4x performance improvementover that using decision trees for Spec92int benchmarks simulated on TriMedia processor.
Year
Venue
Keywords
2002
PDPTA
scheduling,vliw processors,specu- lative trace scheduling,vliw processor,ilp.,speculative trace scheduling,branch prediction,decision tree
Field
DocType
ISBN
Decision tree,Computer architecture,Trace scheduling,Scheduling (computing),Computer science,Very long instruction word,Parallel computing,Compiler,Branch predictor,TriMedia,Performance improvement
Conference
1-892512-88-2
Citations 
PageRank 
References 
1
0.35
5
Authors
4
Name
Order
Citations
PageRank
Manvi Agarwal110.35
S. K. Nandy232050.83
Jos T. J. van Eijndhoven313516.27
S. Balakrishnan4224.66