Title
CPPC: Correctable parity protected cache
Abstract
Due to shrinking feature sizes processors are becoming more vulnerable to soft errors. Write-back caches are particularly vulnerable since they hold dirty data that do not exist in other memory levels. While conventional error correcting codes can protect write-back caches, it has been shown that they are expensive in terms of area and power. This paper proposes a new reliable write-back cache called Correctable Parity Protected Cache (CPPC) which adds error correction capability to a parity-protected cache. For this purpose, CPPC augments a write-back parity-protected cache with two registers: the first register stores the XOR of all data written to the cache and the second register stores the XOR of all dirty data that are removed from the cache. CPPC relies on parity to detect a fault and then on the two XOR registers to correct faults. By a novel combination of byte shifting and parity interleaving CPPC corrects both single and spatial multi-bit faults to provide a high degree of reliability. We compare CPPC with one-dimensional parity, SECDED (Single Error Correction Double Error Detection) and two-dimensional parity-protected caches. Our simulation results show that CPPC provides a high level of reliability while its overheads are less than the overheads of SECDED and two-dimensional parity.
Year
DOI
Venue
2011
10.1145/2000064.2000091
ISCA
Keywords
Field
DocType
correctable parity,register store,cppc,two-dimensional parity-protected cache,logic circuits,parity-protected cache,single error correction double error detection,cache,shrinking feature sizes processors,cache storage,two-dimensional parity,multibit faults,error correction codes,one-dimensional parity,parity interleaving,xor,reliable write-back cache,reliability,write-back cache,byte shifting,error correcting codes,parity,correctable parity protected cache,secded,write-back parity-protected cache,dirty data,soft errors,parity check codes,xor register,soft error,error correction,error correction code,registers
Byte,Computer science,Cache,Parallel computing,Cache algorithms,Error detection and correction,Real-time computing,Dirty data,Parity (mathematics),Interleaving
Conference
ISSN
ISBN
Citations 
1063-6897
978-1-4503-0472-6
31
PageRank 
References 
Authors
1.09
16
3
Name
Order
Citations
PageRank
Mehrtash Manoochehri1523.85
Murali Annavaram21685113.77
Michel Dubois31303259.66