Title
Simultaneous Retiming and Placement for Pipelined Netlists
Abstract
Although pipelining or C-slowing an FPGA-based application can potentially dramatically improve the performance, this poses a question for conventional reconfigurable architectures and CAD tools: what is the best way to support these new extra registers? While there have been multiple research efforts to address this problem, they generally impose strict architectural requirements, offer limited retiming capabilities, or require multiple iterations with no guarantees regarding feasible implementations.In this paper we introduce a new simulated annealing-based placement and retiming approach that provides the capability to aggressive apply retiming on a wide range of netlists, for arbitrary architectures, while maintaining predictable results. Our results show that for heavily pipelined applications, this methodology can produce netlists and placements with 1.65x better post-routing critical path delay as compared to the classical approach of retiming before timing-driven VPR placement, and 1.08x better than retiming before our improved timing-driven placer.
Year
DOI
Venue
2008
10.1109/FCCM.2008.21
FCCM
Keywords
Field
DocType
pipelined netlists,new simulated annealing-based placement,fpga-based application,multiple research effort,timing-driven vpr placement,cad tool,simultaneous retiming,retiming approach,new extra register,multiple iteration,improved timing-driven placer,classical approach,iterative methods,field programmable gate arrays,circuits,simulated annealing,fpga,critical path,frequency,registers,pipelining
Cad tools,Simulated annealing,Pipeline (computing),Retiming,Iterative method,Computer science,Parallel computing,Field-programmable gate array,Implementation,Critical path delay,Real-time computing
Conference
Citations 
PageRank 
References 
2
0.88
14
Authors
2
Name
Order
Citations
PageRank
Ken Eguro119515.97
Scott Hauck22539232.71