Title
FPGA-Specific Arithmetic Optimizations of Short-Latency Adders
Abstract
Integer addition is a pervasive operation in FPGA designs. The need for fast wide adders grows with the demand for large precisions as, for example, required for the implementation of IEEE-754 quadruple precision and elliptic-curve cryptography. The FPGA realization of fast and compact binary adders relies on hardware carry chains. These provide a natural implementation environment for the ripple-carry addition (RCA) scheme. As its latency grows linearly with operand width, wide additions call for acceleration, which is quite reasonably achieved by addition schemes built from parallel RCA blocks. This study presents FPGA-specific arithmetic optimizations for the mapping of carry-select and carry-increment adders targeting the hardware carry chains of modern FPGAs. Different trade-offs between latency and area are explored. The proposed architectures can be successfully used in the context of latency-critical systems or as attractive alternatives to deeply pipelined RCA schemes.
Year
DOI
Venue
2011
10.1109/FPL.2011.49
FPL
Keywords
Field
DocType
ripple-carry addition,addition scheme,parallel rca block,short-latency adders,fpga design,fpga realization,wide addition,rca scheme,fpga-specific arithmetic optimizations,natural implementation environment,integer addition,fast wide adder,adders,fpga,field programmable gate arrays,addition,logic design
Logic synthesis,Quadruple-precision floating-point format,Adder,Computer science,Cryptography,Latency (engineering),Parallel computing,Operand,Arithmetic,Field-programmable gate array,Real-time computing,Binary number
Conference
Citations 
PageRank 
References 
3
0.51
5
Authors
3
Name
Order
Citations
PageRank
Hong Diep Nguyen11388.93
Bogdan Pasca232528.69
Thomas B. Preuβer330.85