Title
Structured ASIC, evolution or revolution?
Abstract
This paper describes the structured ASIC technology and impacts to the implementation flow. With an optimized and programmable structure, the structured ASIC technology indeed introduces a dramatically reduce ASIC cost and manufacturing turn-around time. While, the structured ASIC implementation flow is more complex than the conventional cell-based flow. There would be slightly impacts to structured ASIC implementation problems. Finally, the structured ASIC solutions provided by Faraday would be given. There are 3 structured ASIC solutions for customers' different applications. The three solutions are MPCA (Metal programmable Cell Array), MPIO (Metal Programmable I/O), and the structured ASIC platform. With the most competitive architecture, our customers can implement their ASIC at a lower cost with a faster turn-around-time.
Year
DOI
Venue
2004
10.1145/981066.981088
International Symposium on Physical Design
Keywords
Field
DocType
structured asic implementation problem,structured asic platform,asic cost,structured asic,conventional cell-based flow,structured asic solution,implementation flow,structured asic implementation flow,programmable cell array,lower cost,asic,structured asic technology
Asic technology,Computer science,Structured ASIC platform,FPGA prototype,Application-specific integrated circuit,Embedded system,Cellular array
Conference
ISBN
Citations 
PageRank 
1-58113-817-2
41
2.17
References 
Authors
0
2
Name
Order
Citations
PageRank
Kun-Cheng Wu1412.85
Yu-Wen Tsai2744.93