Title
ZerehCache: Armoring cache architectures in high defect density technologies
Abstract
Aggressive technology scaling to 45 nm and below introduces serious reliability challenges to the design of microprocessors. Large SRAM structures used for caches are particularly sensitive to process variation due to their high density and organization. Designers typically over-provision caches with additional resources to overcome the hard-faults. However, static allocation and binding of redundant resources results in low utilization of the extra resources and ultimately limits the number of defects that can be tolerated. This work re-examines the design of process variation tolerant on-chip caches with the focus on flexibility and dynamic reconfigurability to allow a large number defects to be tolerated with modest hardware overhead. Our approach, ZerehCache, combines redundant data array elements with a permutation network for providing a higher degree of freedom on replacement. A graph coloring algorithm is used to configure the network and find the proper mapping of replacement elements. We perform an extensive design space exploration of both L1/L2 caches to identify several Pareto optimal ZerehCaches. For the yield analysis, a population of 1000 chips was studied at the 45 nm technology node; L1 designs with 16% and an L2 designs with 8% area overheads achieve yields of 99% and 96%, respectively.
Year
DOI
Venue
2009
10.1145/1669112.1669127
MICRO
Keywords
Field
DocType
pareto optimal zerehcaches,circuit reliability,l2 design,aggressive technology,redundant resources binding,l1 design,size 45 nm,cache storage,process variation tolerant on-chip,l2 designs,redundant data array element,sram chips,l2 cache,high defect density technologies,manufacturing yield,graph colouring,cache architectures,fault tolerance,large number defect,redundant resources result,fault-tolerant cache,logic design,redundant data array elements,cache architecture,extensive design space exploration,memory architecture,microprocessor design,process variation tolerant on-chip cache design,large sram structures,static allocation,graph coloring algorithm,permutation network,high defect density technology,process variation,organization design,redundancy,chip,fault tolerant,graph coloring,degree of freedom
Population,Reconfigurability,Cache,Computer science,Parallel computing,Static random-access memory,Real-time computing,Fault tolerance,Process variation,Design space exploration,Memory architecture
Conference
ISSN
ISBN
Citations 
1072-4451
978-1-60558-798-1
47
PageRank 
References 
Authors
1.51
24
4
Name
Order
Citations
PageRank
Amin Ansari136115.88
Shantanu Gupta239016.39
Shuguang Feng330612.96
Scott Mahlke44811312.08