Title
SelectDirectory: A selective directory for cache coherence in many-core architectures
Abstract
As we move into many-core era fueled by Moore's Law, it has become unprecedentedly challenging to provide the shared memory abstraction through directory-based cache coherence. The main difficulty is the high area and power overhead of the directory in tracking the presence of a memory block in all the private caches. Sparse directory offers relatively better design trade-offs by decoupling the coherence meta-data from the last-level cache (LLC); but still suffers from high area/power issues. In this work, we propose a compact directory design by exploiting the observation that a significant fraction of the memory blocks are temporarily exclusive in the cache hierarchy and hence only needs minimal sharer information. Inspired by this observation, we propose to further decouple the tag array from the coherence meta-data array in the sparse directory and allocate a sharer list only for the actively shared blocks. Experimental results reveal that our proposal, called SelectDirectory, can substantially save directory storage area and energy without sacrificing performance.
Year
Venue
Keywords
2015
DATE
upper bound,resource allocation,moore law,meta data,tracking,protocols,coherence,system on chip,code obfuscation
Field
DocType
ISSN
Cache pollution,Computer science,Cache,MESIF protocol,MESI protocol,Parallel computing,Real-time computing,Memory coherence,Cache coloring,Bus sniffing,Cache coherence
Conference
1530-1591
Citations 
PageRank 
References 
3
0.37
15
Authors
6
Name
Order
Citations
PageRank
Yuan Yao110512.54
Guanhua Wang241.09
Zhiguo Ge3162.24
Tulika Mitra42714135.99
Wenzhi Chen514128.65
Naxin Zhang630.37