Title
RFVP: Rollback-Free Value Prediction with Safe-to-Approximate Loads.
Abstract
This article aims to tackle two fundamental memory bottlenecks: limited off-chip bandwidth (bandwidth wall) and long access latency (memory wall). To achieve this goal, our approach exploits the inherent error resilience of a wide range of applications. We introduce an approximation technique, called Rollback-Free Value Prediction (RFVP). When certain safe-to-approximate load operations miss in the cache, RFVP predicts the requested values. However, RFVP does not check for or recover from load-value mispredictions, hence, avoiding the high cost of pipeline flushes and re-executions. RFVP mitigates the memory wall by enabling the execution to continue without stalling for long-latency memory accesses. To mitigate the bandwidth wall, RFVP drops a fraction of load requests that miss in the cache after predicting their values. Dropping requests reduces memory bandwidth contention by removing them from the system. The drop rate is a knob to control the trade-off between performance/energy efficiency and output quality. Our extensive evaluations show that RFVP, when used in GPUs, yields significant performance improvement and energy reduction for a wide range of quality-loss levels. We also evaluate RFVP's latency benefits for a single core CPU. The results show performance improvement and energy reduction for a wide variety of applications with less than 1% loss in quality.
Year
DOI
Venue
2016
10.1145/2836168
TACO
Keywords
Field
DocType
memory bandwidth,memory latency
Memory bandwidth,Cache,Computer science,Latency (engineering),Efficient energy use,Parallel computing,Real-time computing,Bandwidth (signal processing),Rollback,CAS latency,Performance improvement
Journal
Volume
Issue
ISSN
12
4
1544-3566
Citations 
PageRank 
References 
18
0.66
66
Authors
6
Name
Order
Citations
PageRank
Amir Yazdanbakhsh124115.28
Gennady Pekhimenko270628.75
Bradley Thwaites31405.67
H. Esmaeilzadeh4144369.71
Onur Mutlu59446357.40
Todd C. Mowry63021253.75