Title
TSocket: Thermal Sustainable Power Budgeting.
Abstract
As technology scales, thermal management for multicore architectures becomes a critical challenge due to increasing power density. Existing power budgeting techniques focus on maximizing performance under a given power budget by optimizing the core configurations. In multicore era, a chip-wide power budget, however, is not sufficient to ensure thermal constraints because the thermal sustainable power capacity varies with different threading strategies and core configurations. In this article, we propose two models to dynamically estimate the thermal sustainable power capacity in homogeneous multicore systems: uniform power model and nonuniform power model . These two models convert the thermal effect of threading strategies and core configurations into power capacity, which provide a context-based core power capacity for power budgeting. Based on these models, we introduce a power budgeting framework aiming to improve the performance within thermal constraints, named as TSocket. Compared to the chip-wide power budgeting solution, TSocket shows 19p average performance improvement for the PARSEC benchmarks in single program scenario and up to 11p performance improvement in multiprogram scenario. The performance improvement is achieved by reducing thermal violations and exploring thermal headrooms.
Year
DOI
Venue
2016
10.1145/2837023
ACM Trans. Design Autom. Electr. Syst.
Keywords
Field
DocType
Design,Management,Performance,Reliability,Power budgeting,performance optimization,thermal modeling,multicore system
Power budget,Parsec,Thermal,Homogeneous,Computer science,Power model,Power density,Real-time computing,Multi-core processor,Performance improvement
Journal
Volume
Issue
ISSN
21
2
1084-4309
Citations 
PageRank 
References 
2
0.36
21
Authors
7
Name
Order
Citations
PageRank
Guoqing Chen1225.61
Yi Xu2804.91
Xing Hu311213.12
Xiangyang Guo420.36
Jun Ma5939.42
Yu Hu653776.69
Yuan Xie76430407.00