Title
A RISC-V Vector Processor With Simultaneous-Switching Switched-Capacitor DC-DC Converters in 28 nm FDSOI.
Abstract
This work demonstrates a RISC-V vector microprocessor implemented in 28 nm FDSOI with fully integrated simultaneous-switching switched-capacitor DC-DC (SC DC-DC) converters and adaptive clocking that generates four on-chip voltages between 0.45 and 1 V using only 1.0 V core and 1.8 V IO voltage inputs. The converters achieve high efficiency at the system level by switching simultaneously to avoid ...
Year
DOI
Venue
2016
10.1109/JSSC.2016.2519386
IEEE Journal of Solid-State Circuits
Keywords
Field
DocType
Voltage control,Microprocessors,System-on-chip,Clocks,Computer architecture,Inductors,Switches
Dynamic voltage scaling,Energy conversion efficiency,Control theory,System on a chip,Computer science,Voltage,Inductor,Converters,Electronic engineering,Switched capacitor
Journal
Volume
Issue
ISSN
51
4
0018-9200
Citations 
PageRank 
References 
9
0.62
20
Authors
19
Name
Order
Citations
PageRank
Brian Zimmer111011.58
Yunsup Lee258734.70
Alberto Puggelli313210.13
jaehwa kwak4566.03
Ruzica Jevtic510010.81
Keller, B.6596.80
Steven Bailey790.96
Milovan Blagojevic8575.83
Pi-Feng Chiu9496.01
Hanh-Phuc Le1033741.77
Po-Hung Chen116511.24
N. Sutardja12434.87
Rimas Avizienis1324422.57
Andrew Waterman1491547.53
Brian C. Richards157237.78
Philippe Flatresse169715.35
Elad Alon17912121.97
Krste Asanović182975226.80
Borivoje Nikolic1958572.45