Title
Efficient Implementation of Thermal-Aware Scheduler on a Quad-core Processor
Abstract
Due to power wall and slow performance improvement in a single core micro-architecture, multiple even many cores based processors rose as the main stream processor. Nevertheless, thermal threats regarding reliability and lifetime of processors are still among the major concerns which received much attention in terms of algorithms and hardware design to reduce processor temperature and keep application performance in recent years. In this paper, we propose and implement a thermal-aware Round-Robin scheduling algorithm for process migration in the Linux environment on a quad-core processor. Bearing designer's goals in mind, such as performance, load-balancing, and reliability, we managed to achieve much bigger temperature fall than previous results of Round-Robin scheduler on a dual-core processor as well as baseline Linux scheduler on a quad-core processor. Moreover, the performance loss due to scheduling overhead is modest in our approach. Our results indicate that thermal-aware scheduling is a valid approach to tackling thermal issues on multi-core processors. There will be increasing demand for thermal-aware scheduling as the number of cores on a single processor increases.
Year
DOI
Venue
2011
10.1109/TrustCom.2011.147
IEEE International Conference on Trust, Security and Privacy in Computing and Communications
Field
DocType
Volume
Fixed-priority pre-emptive scheduling,Fair-share scheduling,Scheduling (computing),Computer science,Two-level scheduling,Least slack time scheduling,Processor affinity,Generalized processor sharing,Dynamic priority scheduling,Operating system
Conference
null
Issue
ISSN
Citations 
null
2324-898X
0
PageRank 
References 
Authors
0.34
16
7
Name
Order
Citations
PageRank
Xiao-Jing Yin1174.40
Yongxin Zhu246658.07
Liang Xia300.34
Jingwei Ye471.53
Tian Huang5537.40
Yuzhuo Fu62815.64
Meikang Qiu73722246.98