Title
Characterization and modeling of multicast communication in cache-coherent manycore processors.
Abstract
•Multicast traffic is characterized and modeled with an emphasis on scalability.•Intensity, concentration and burstiness increase with the system size.•Growing correlation suggests the use of prediction to optimize NoC designs.•Simple multicast source predictors achieve modest but promising accuracies.
Year
DOI
Venue
2016
10.1016/j.compeleceng.2015.12.018
Computers & Electrical Engineering
Keywords
Field
DocType
Manycore processors,Multicast,Broadcast,On-chip traffic analysis,Network-on-chip,Scalability
Inter-domain,Protocol Independent Multicast,Source-specific multicast,Computer science,Xcast,Computer network,Real-time computing,Pragmatic General Multicast,Distance Vector Multicast Routing Protocol,Multicast,Reliable multicast,Distributed computing
Journal
Volume
Issue
ISSN
51
C
0045-7906
Citations 
PageRank 
References 
3
0.37
17
Authors
5
Name
Order
Citations
PageRank
Sergi Abadal118022.96
Raúl Martínez2142.95
Josep Solé-pareta343658.67
Eduard Alarcón439164.43
Albert Cabellos-Aparicio541846.33