Title
Integrated Thermal Analysis for Processing In Die-Stacking Memory.
Abstract
Recent application and technology trends bring a renaissance of the processing-in-memory (PIM), which was envisioned decades ago. In particular, die-stacking and silicon interposer technologies enable the integration of memory, PIMs, and the host CPU in a single chip. Yet the integration substantially increases system power density. This can impose substantial thermal challenges to the feasibility of such systems. In this paper, we comprehensively study the thermal feasibility of integrated systems consisting of the host CPU, die-stacking DRAMs, and various types of PIMs. Compared with most previous thermal studies that only focus on the memory stack, we investigate the thermal distribution of the whole processor-memory system. Furthermore, we examine the feasibility of various cooling solutions and feasible scale of various PIM designs under given thermal and area constraints. Finally, we demonstrate system run-time thermal feasibility by executing two high-performance computing applications with PIM-based systems. Based on our experimental studies, we reveal a set of thermal implications for PIM-based system design and configuration.
Year
DOI
Venue
2016
10.1145/2989081.2989093
MEMSYS
Keywords
DocType
Citations 
Processing-in-memory,Die stacking,Interposer,Thermal,High-performance computing
Conference
5
PageRank 
References 
Authors
0.48
36
4
Name
Order
Citations
PageRank
Yuxiong Zhu150.48
Borui Wang250.48
Li, Dong376448.56
Jishen Zhao463838.51