Title
Overcoming and Analyzing the Bottleneck of Interposer Network in 2.5D NoC Architecture.
Abstract
As there are still a lot of challenges on 3D stacking technology, 2.5D stacking technology seems to have better application prospects. With the silicon interposer, the 2.5D stacking can improve the bandwidth and capacity of memory. Moreover, the interposer can be explored to make use of unused routing resources and generates an additional network for communication. In this paper, we conclude that using concentrated Mesh as the topology of the interposer network faces the bottleneck of edge portion, while using Double-Butterfly can overcome this bottleneck. We analyze the reasons that pose the bottleneck, compare impacts of different topologies on bottlenecks and propose design goals for the interposer network.
Year
DOI
Venue
2016
10.1007/978-981-10-2209-8_4
ADVANCED COMPUTER ARCHITECTURE, ACA 2016
Keywords
DocType
Volume
2.5D stacking technology,Topology,Interposer network,Performance bottleneck
Conference
626
ISSN
Citations 
PageRank 
1865-0929
0
0.34
References 
Authors
0
5
Name
Order
Citations
PageRank
Chen Li132.05
Zicong Wang202.70
Lu Wang330.78
sheng ma418522.42
Yang Guo56732.72