Title
Pipeline Reconfigurable DSP for Dynamically Reconfigurable Architectures.
Abstract
Dynamically reconfigurable architectures, such as NATURE, achieve high logic density and low reconfiguration latency compared to traditional field-programmable gate arrays. Unlike fine-grained NATURE, reconfigurable DSP block incorporated NATURE architecture achieves significant improvement in performance for mapping compute-intensive arithmetic operations. However, the DSP block fails to fully exploit the potential provided by the run-time reconfiguration. This paper presents a pipeline reconfigurable DSP architecture to target the NATURE platform that supports temporal logic folding. The proposed approach allows the DSP pipeline stages to be reconfigured independently such that different functions can be performed distinctively and individually at every clock interval during runtime. In addition, a multistage clock gating technique is also used in the design to minimize the power consumption. We also extend NanoMap tool for mapping circuits on NATURE platform to exploit the pipeline-level reconfigurability of our proposed DSP block to enable efficient resource sharing and area/power reduction. Simulation results on 13 benchmarks show that the proposed approach enables area-delay improvement of up to 3.6$$\\times $$× compared to the fine-grained NATURE architecture. The proposed architecture also delivers 31.42% reduction in area and a maximum of 4.18$$\\times $$× improvement in power-delay compared to existing NATURE architecture. We also observe an average improvement of 29 and 54.13% in performance and area when compared to commercial Xilinx Spartan-3A DSP platform, thereby allowing the designers to tune the circuit implementations for the area, power, or performance benefits.
Year
DOI
Venue
2017
10.1007/s00034-017-0493-x
CSSP
Keywords
Field
DocType
Dynamic reconfiguration, Temporal logic folding, Pipeline reconfiguration
Clock gating,Digital signal processing,Reconfigurability,Exploit,Temporal logic,Electronic circuit,Shared resource,Control reconfiguration,Mathematics,Embedded system
Journal
Volume
Issue
ISSN
36
9
1531-5878
Citations 
PageRank 
References 
0
0.34
30
Authors
3
Name
Order
Citations
PageRank
Rakesh Warrier151.80
Wei Zhang228735.43
Chan Hua Vun372.24