Title
Throughput Optimization for Lifetime Budgeting in Many-Core Systems.
Abstract
Due to technology scaling, lifetime reliability is becoming one of major design constraints in the design of future many-core systems. In this paper, we propose a novel runtime mapping scheme which could dynamically map the applications given a lifetime reliability constraint. A borrowing strategy is adopted to manage the lifetime in a long-term scale, and the lifetime constraint could be relaxed in short-term scale when the communication performance requirement is high. The throughput could be improved because the communication performance of communication intensive applications is optimized, and meanwhile the waiting time of computation intensive application is reduced. Furthermore, an improved neighborhood allocation method is proposed for the runtime mapping scheme. The experimental results show that compared to the state-of-the-art lifetime-constrained mapping, the proposed mapping scheme could have over 20% throughput improvement.
Year
DOI
Venue
2017
10.1145/3060403.3060419
ACM Great Lakes Symposium on VLSI
Keywords
Field
DocType
Many-core systems, lifetime reliability constraint, runtime mapping, throughput
Performance requirement,Technology scaling,Computer science,Real-time computing,Throughput,Reliability engineering,Computation
Conference
Citations 
PageRank 
References 
2
0.36
6
Authors
4
Name
Order
Citations
PageRank
Liang Wang11567158.46
Xiaohang Wang289553.93
hofung leung31314132.32
Terrence S. T. Mak419833.28