Title
Architecting HBM as a high bandwidth, high capacity, self-managed last-level cache.
Abstract
Due to the recent growth in the number of on-chip cores available in today's multi-core processors, there is an increased demand for memory bandwidth and capacity. However, off-chip DRAM is not scaling at the rate necessary for the growth in number of on-chip cores. Stacked DRAM last-level caches have been proposed to alleviate these bandwidth constraints, however, many of these ideas are not practical for real systems, or may not take advantage of the features available in today's stacked DRAM variants. In this paper, we design a last-level, stacked DRAM cache that is practical for real-world systems and takes advantage of High Bandwidth Memory (HBM) [1]. Our HBM cache only requires one minor change to existing memory controllers to support communication. It uses HBM's built-in logic die to handle tag storage and lookups. We also introduce novel tag/data storage that enables faster lookups, associativity, and more capacity than previous designs.
Year
DOI
Venue
2017
10.1145/3149393.3149394
SC '17: The International Conference for High Performance Computing, Networking, Storage and Analysis Denver Colorado November, 2017
DocType
ISBN
Citations 
Conference
978-1-4503-5134-8
0
PageRank 
References 
Authors
0.34
0
4
Name
Order
Citations
PageRank
Tyler Stocksdale100.34
Mu-Tien Chang200.68
Hongzhong Zheng31225.94
Frank Mueller411.37