Title
Energy-aware page replacement for NVM based hybrid main memory system
Abstract
With the advantage of low power consumption, Non-Volatile Memories (NVMs) has been widely used in hybrid memory architecture. This paper presents a page replacement method based on NVM-DRAM hybrid main memory system for low power and consistency guarantee, called EAPR The energy consumption of page access in DRAMs and NVMs can be calculated according to the memory access, and the pages are migrated according to their energy consumption, by which the pages are determined to migrate from NVM to DRAM or from DRAM to NVM. Instead of deleting the logs directly, our approach guarantees the consistency of the hybrid memory architecture by optimizing the structure of logs after the transactions of the applications are submitted. Finally, the experimental results show that EAPR can not only reduce the energy consumption at least 20% compared with other page replacement algorithms but also guarantee the consistency of transactions in the NVM-DRAM hybrid memory system.
Year
DOI
Venue
2017
10.1109/RTCSA.2017.8046335
2017 IEEE 23rd International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA)
Keywords
Field
DocType
NVM,page replacement,energy consumption,consistency guarantee
Registered memory,Interleaved memory,Computer science,Cache-only memory architecture,Real-time computing,Page replacement algorithm,Page fault,Memory map,Demand paging,Memory architecture
Conference
ISBN
Citations 
PageRank 
978-1-5386-2977-2
1
0.35
References 
Authors
10
6
Name
Order
Citations
PageRank
Yiming Zhang114337.82
Jinyu Zhan286.23
Junhuan Yang322.38
Wei Jiang4147.33
Lin Li532379.92
Yixin Li622.38