Title
Recovery-Aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3-D ICs.
Abstract
Electromigration (EM) becomes a major reliability concern in 3-D integrated circuits (3-D ICs). To mitigate this problem, a typical solution is to use through-silicon via (TSV) redundancy in a reactive manner, maintaining the operability of a 3-D chip in the presence of EM failures by detecting and replacing faulty TSVs with spares. In this paper, we explore an alternative, more preferred approach...
Year
DOI
Venue
2018
10.1109/TVLSI.2017.2775586
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Keywords
Field
DocType
Through-silicon vias,Maintenance engineering,Stress,Redundancy,Integrated circuit interconnections
Computer science,Operability,Electronic engineering,Chip,Redundancy (engineering),Electromigration,Integrated circuit,Reliability engineering,Grid,Maintenance engineering
Journal
Volume
Issue
ISSN
26
3
1063-8210
Citations 
PageRank 
References 
1
0.37
0
Authors
5
Name
Order
Citations
PageRank
Shengcheng Wang1134.29
Taeyoung Kim240041.48
Zeyu Sun3307.63
Xiang-Dong Tan417730.26
Mehdi B. Tahoori51537163.44