Title
Dynamic Lifetime Reliability Management for Chip Multiprocessors.
Abstract
We introduce an algorithm for dynamic lifetime reliability optimization of chip multiprocessors (CMPs). The proposed dynamic reliability management (DRM) algorithm combines thread migration and dynamic voltage and frequency scaling (DVFS) as the two primary techniques to change the CMP operation. The goal is to increase the lifetime reliability of the overall system to the desired target with mini...
Year
DOI
Venue
2018
10.1109/TMSCS.2018.2870187
IEEE Transactions on Multi-Scale Computing Systems
Keywords
DocType
Volume
Reliability,Network-on-chip,Multicore processing,Dielectric breakdown,Benchmark testing,Negative bias temperature instability
Journal
4
Issue
ISSN
Citations 
4
2332-7766
0
PageRank 
References 
Authors
0.34
0
2
Name
Order
Citations
PageRank
Milad Ghorbani Moghaddam194.70
Cristinel Ababei228124.54