Title
Formal Design and Verification of an Asynchronous SRAM Controller
Abstract
We propose a new design of an asynchronous speed-independent SRAM controller that is tolerant to variations in supply voltage and can trade off performance for power consumption. It uses the standard 6T memory cells and is more robust than a comparable speed-independent design in literature due to a delay-insensitive interface to bit-lines. Designing an asynchronous SRAM controller presents a fascinating challenge for the application of formal models: As there is no global clocking, the switching events are inherently partially ordered, with concurrency, sequencing and choice being inextricably intertwined. In contrast to previous designs, the proposed controller was systematically developed, synthesised, and formally verified.
Year
DOI
Venue
2017
10.1109/ACSD.2017.12
2017 17th International Conference on Application of Concurrency to System Design (ACSD)
Keywords
Field
DocType
SRAM,Asynchronous Circuits,Signal Transition Graphs,Petri Nets
Formal design,Asynchronous communication,Control theory,Logic gate,Computer science,Concurrency,Voltage,Static random-access memory,Real-time computing,Concurrent computing
Conference
ISSN
ISBN
Citations 
1550-4808
978-1-5386-2868-3
1
PageRank 
References 
Authors
0.35
11
4
Name
Order
Citations
PageRank
victor khomenko1355.21
Andrey Mokhov213626.57
Danil Sokolov322727.50
Alex Yakovlev487.71