Title
DIM-VEX: Exploiting Design Time Configurability and Runtime Reconfigurability.
Abstract
Embedded processors must efficiently deliver performance at low energy consumption. Both configurable and reconfigurable techniques can be used to fulfill such constraints, although applied in different situations. In this work, we propose DIM-VEX, a configurable processor coupled with a reconfigurable fabric, which can leverage both design time configurability and runtime reconfigurability. We show that, on average, such system can improve performance by up to 1.41X and reduce energy by up to 60% when compared to a configurable processor at the cost of additional area.
Year
Venue
Field
2018
ARC
Reconfigurability,Low energy,Computer science,Parallel computing,Binary code compatibility,Binary translation,Embedded system
DocType
Citations 
PageRank 
Conference
0
0.34
References 
Authors
5
6
Name
Order
Citations
PageRank
Jeckson Dellagostin Souza1144.78
Anderson Luiz Sartor2317.67
Luigi Carro31393166.42
Mateus B. Rutzig4218.85
Stephan Wong58912.74
Antonio C. S. Beck6155.10