Title
HotSniper: Sniper-Based Toolchain for Many-Core Thermal Simulations in Open Systems.
Abstract
This letter presents a toolchain called HotSniper developed by integrating HotSpot temperature modeling tool with Sniper many-core simulator. HotSniper allows for interval thermal simulation of many-cores, which is several times faster than the cycle-accurate many-core thermal simulations and at the same time is more accurate than trace-based many-core thermal simulations. HotSniper toolchain prov...
Year
DOI
Venue
2019
10.1109/LES.2018.2866594
IEEE Embedded Systems Letters
Keywords
Field
DocType
Microprocessors,Benchmark testing,Open systems,Tools,Power demand,Program processors,Transient analysis
Thermal,Computer science,Source code,Parallel computing,Thermal simulation,Open system (systems theory),Hotspot (Wi-Fi),Toolchain,Embedded system
Journal
Volume
Issue
ISSN
11
2
1943-0663
Citations 
PageRank 
References 
0
0.34
0
Authors
2
Name
Order
Citations
PageRank
Anuj Pathania118114.97
J. Henkel24471366.50