Title
Exploring the Relation between Monolithic 3D L1 GPU Cache Capacity and Warp Scheduling Efficiency
Abstract
The warp scheduler plays an important role in the GPU for efficient utilization of hardware resources. However, the efficiency of the warp scheduler is often limited by the L1 cache (especially, L1 data cache) capacity; providing large capacity for an L1 cache is challenging due to the increased latency. In this paper, we adopt Monolithic 3D (M3D) technology to design a large capacity L1 cache for GPU performance enhancement, not deteriorating the latency. Our evaluation results show that the M3D L1 cache improves GPU performance by 2.18~2.24× on average, compared to the 2D conventional L1 cache.
Year
DOI
Venue
2019
10.1109/ISLPED.2019.8824947
2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)
Keywords
Field
DocType
GPGPU,Monolithic 3D,Warp Scheduling
Performance enhancement,Cache capacity,Latency (engineering),Scheduling (computing),Computer science,CPU cache,Real-time computing,General-purpose computing on graphics processing units,Data cache,Embedded system
Conference
ISBN
Citations 
PageRank 
978-1-7281-2955-6
0
0.34
References 
Authors
7
5
Name
Order
Citations
PageRank
Cong Thuan Do100.34
Young-Ho Gong200.34
Cheol Hong Kim37324.39
Miseon Han462.52
Sung Woo Chung562.80