Title
Leakier Wires: Exploiting FPGA Long Wires for Covert- and Side-channel Attacks.
Abstract
In complex FPGA designs, implementations of algorithms and protocols from third-party sources are common. However, the monolithic nature of FPGAs means that all sub-circuits share common on-chip infrastructure, such as routing resources. This presents an attack vector for all FPGAs that contain designs from multiple vendors, especially for FPGAs used in multi-tenant cloud environments, or integrated into multi-core processors. In this article, we show that “long” routing wires present a new source of information leakage on FPGAs, by influencing the delay of adjacent long wires. We show that the effect is measurable for both static and dynamic signals and that it can be detected using small on-board circuits. We characterize the channel in detail and show that it is measurable even when multiple competing circuits (including multiple long-wire transmitters) are present and can be replicated on different generations and families of Xilinx devices (Virtex 5, Virtex 6, Artix 7, and Spartan 7). We exploit the leakage to create a covert channel with 6kbps of bandwidth and 99.9% accuracy, and a side channel, which can recover signals kept constant for only 1.3sμs, with an accuracy of more than 98.4%. Finally, we propose countermeasures to reduce the impact of this leakage.1
Year
DOI
Venue
2019
10.1145/3322483
ACM Transactions on Reconfigurable Technology and Systems
Keywords
Field
DocType
FPGA covert channel,crosstalk,information leakage,long-wire delay
Computer science,Parallel computing,Covert,Field-programmable gate array,Side channel attack,Embedded system
Journal
Volume
Issue
ISSN
12
3
1936-7406
Citations 
PageRank 
References 
4
0.44
0
Authors
3
Name
Order
Citations
PageRank
Ilias Giechaskiel1336.61
Ken Eguro219515.97
Kasper Bonne Rasmussen367946.48