Title
Reading Between the Dies: Cross-SLR Covert Channels on Multi-Tenant Cloud FPGAs
Abstract
Field-Programmable Gate Arrays (FPGAs) are becoming increasingly available via commercial cloud providers, which currently allocate devices on a per-user basis. As the underlying hardware is often underutilized, several proposals for multi-tenant use of FPGA resources have been brought forth, along with some initial work on security attacks in this setting. Simultaneously, high-end FPGAs are being produced with 2.5D integration of multiple distinct dies, called Super Logic Regions (SLRs), onto the same chip. Although one might expect that physical separation of logic onto separate dies could prevent multi-tenant attacks, this paper demonstrates for the first time that cross-SLR information leaks based on sensing voltage changes within the FPGA chip are possible, without physical access to or modification of the boards. The cross-SLR covert channel is characterized analytically and experimentally on five Xilinx Virtex UltraScale+ FPGAs, both locally and on the Amazon and Huawei clouds. Several configurations of the source transmitters and the sink receivers are tested, including their locations, types, and sizes. The power-based channel is shown to have a bandwidth upwards of 4.6 Mbps and accuracy of over 97.6%. Consequently, as physical separation of tenants onto separate dies (SLRs) is an insufficient countermeasure against information leaks, hardware-level architectural improvements are necessary to make secure multi-tenant FPGAs on shared clouds a reality.
Year
DOI
Venue
2019
10.1109/ICCD46524.2019.00010
2019 IEEE 37th International Conference on Computer Design (ICCD)
Keywords
DocType
ISSN
Cloud and virtualized FPGAs,multi-tenant FPGAs,ring oscillators,super logic regions,covert channels
Conference
1063-6404
ISBN
Citations 
PageRank 
978-1-7281-1215-2
0
0.34
References 
Authors
23
3
Name
Order
Citations
PageRank
Ilias Giechaskiel1336.61
Kasper Bonne Rasmussen267946.48
Jakub Szefer339837.00