Title
SeMPE: Secure Multi Path Execution Architecture for Removing Conditional Branch Side Channels
Abstract
One prevalent source of side channel vulnerabilities is the secret-dependent behavior of conditional branches (SDBCB). The state-of-the-art solution relies on Constant-Time Expressions, which require high programming effort and incur high performance overheads. In this paper, we propose SeMPE, an architecture support to eliminate SDBCB without requiring much programming effort while incurring low performance overheads. When a secret-dependent branch is encountered, SeMPE fetches, executes, and commits both paths of the branch, preventing the adversary from inferring secret values from the branching behavior of the program. SeMPE outperforms code generated by FaCT, a constant-time expression language, by up to 18x.
Year
DOI
Venue
2021
10.1109/DAC18074.2021.9586183
2021 58TH ACM/IEEE DESIGN AUTOMATION CONFERENCE (DAC)
Keywords
DocType
ISSN
side channel, conditional branch, multipath execution, microarchitecture
Conference
0738-100X
Citations 
PageRank 
References 
0
0.34
0
Authors
3
Name
Order
Citations
PageRank
Andrea Mondelli100.34
Paul Gazzillo2876.36
Yan Solihin32057111.56