Title
Chipyard: Integrated Design, Simulation, and Implementation Framework for Custom SoCs
Abstract
Continued improvement in computing efficiency requires functional specialization of hardware designs. Agile hardware design methodologies have been proposed to alleviate the increased design costs of custom silicon architectures, but their practice thus far has been accompanied with challenges in integration and validation of complex systems-on-a-chip (SoCs). We present the Chipyard framework, an integrated SoC design, simulation, and implementation environment for specialized compute systems. Chipyard includes configurable, composable, open-source, generator-based IP blocks that can be used across multiple stages of the hardware development flow while maintaining design intent and integration consistency. Through cloud-hosted FPGA accelerated simulation and rapid ASIC implementation, Chipyard enables continuous validation of physically realizable customized systems.
Year
DOI
Venue
2020
10.1109/MM.2020.2996616
IEEE Micro
Keywords
DocType
Volume
Generators,Open source software,Rockets,IP networks,Hardware,Physical design,Tools
Journal
40
Issue
ISSN
Citations 
4
0272-1732
7
PageRank 
References 
Authors
0.47
0
17
Name
Order
Citations
PageRank
Alon Amid1163.66
David Biancolin2233.80
Abraham Gonzalez391.56
Daniel Grubb471.15
Sagar Karandikar5585.94
Harrison Liew681.84
Albert Magyar7132.24
Howard Mao8203.46
albert j ou9625.85
Nathan Pemberton10122.22
Paul Rigge1170.47
Colin T. A. Schmidt12255.94
John Wright13122.64
Jerry Zhao14101.57
Yakun Sophia Shao1523514.70
Krste Asanović162975226.80
Borivoje Nikolić1710116.22