Title
ALIGN: A System for Automating Analog Layout
Abstract
Editor’s note: This article describes a correct by construction approach to synthesize electrically and designs compliant design. By taking advantage of layout hierarchies they are able to apply this to an interesting class of circuits. — Sherief Reda, Brown University — Leon Stock, IBM — Pierre-Emmanuel Gaillardon, University of Utah
Year
DOI
Venue
2021
10.1109/MDAT.2020.3042177
IEEE Design & Test
Keywords
DocType
Volume
Layout,Transistors,Generators,FinFETs,Analog circuits,Routing,Annotations
Journal
38
Issue
ISSN
Citations 
2
2168-2356
3
PageRank 
References 
Authors
0.38
0
14
Name
Order
Citations
PageRank
Tonmoy Dhar173.18
Kishor Kunal293.59
Yaguang Li3113.86
Meghna Madhusudan4145.62
Jitesh Poojary573.86
Arvind Sharma6156.01
Wenbin Xu7237.96
Steven M. Burns8563104.03
Ramesh Harjani924252.65
Jiang Hu1066865.67
Desmond A. Kirkpatrick1123122.96
Parijat Mukherjee1232.07
Sachin Sapatnekar134074361.60
Soner Yaldiz14697.29