Title
Memory-Based High-Level Synthesis Optimizations Security Exploration on the Power Side-Channel
Abstract
High-level synthesis (HLS) allows hardware designers to think algorithmically and not worry about low-level, cycle-by-cycle details. This provides the ability to quickly explore the architectural design space and tradeoffs between resource utilization and performance. Unfortunately, security evaluation is not a standard part of the HLS design flow. In this article, we aim to understand the effects of memory-based HLS optimizations on power side-channel leakage. We use Xilinx Vivado HLS to develop different cryptographic cores, implement them on a Spartan-6 FPGA, and collect power traces. We evaluate the designs with respect to resource utilization, performance, and information leakage through power consumption. We have two important observations and contributions. First, the choice of resource optimization directive results in different levels of side-channel vulnerabilities. Second, the partitioning optimization directive can greatly compromise the hardware cryptographic system through power side-channel leakage due to the deployment of memory control logic. We describe an evaluation procedure for power side-channel leakage and use it to make best-effort recommendations about how to design more secure architectures in the cryptographic domain.
Year
DOI
Venue
2020
10.1109/TCAD.2019.2950380
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Keywords
DocType
Volume
Optimization,Hardware,Ciphers,Tools,Random access memory
Journal
39
Issue
ISSN
Citations 
10
0278-0070
3
PageRank 
References 
Authors
0.40
0
6
Name
Order
Citations
PageRank
Lu Zhang116340.09
Dejun Mu230.40
Wei Hu3144.71
Yu Tai495.92
Jeremy Blackstone532.43
Ryan Kastner61779147.73