Name
Papers
Collaborators
DAVID FICK
26
61
Citations 
PageRank 
Referers 
584
36.85
1390
Referees 
References 
316
127
Search Limit
1001000
Title
Citations
PageRank
Year
Analog Matrix Processor for Edge AI Real-Time Video Analytics.00.342022
Analog in-memory subthreshold deep neural network accelerator30.572017
Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices.40.462017
24.3 A 36.8 2b-TOPS/W self-calibrating GPS accelerator implemented using analog calculation in 65nm LP CMOS.00.342016
Racetrack Converter: A Low Power And Compact Data Converter Using Racetrack Spintronic Devices10.382015
A 346 µm 2 VCO-Based, Reference-Free, Self-Timed Sensor Interface for Cubic-Millimeter Sensor Nodes in 28 nm CMOS60.622014
Razor-Lite: A Light-Weight Register for Error Detection by Observing Virtual Supply Rails170.852014
Mixed-signal stochastic computation demonstrated in an image sensor with integrated 2D edge detection and noise filtering10.382014
16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS181.662014
A Millimeter-Scale Energy-Autonomous Sensor System With Stacked Battery and Solar Cells50.642013
Centip3De: a many-core prototype exploring 3D integration and near-threshold computing90.512013
Pulse amplification based dynamic synchronizers with metastability measurement using capacitance de-rating10.472013
Razor-lite: A side-channel error-detection register for timing-margin recovery in 45nm SOI CMOS221.142013
Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction592.222013
Centip3De: A 64-Core, 3D Stacked Near-Threshold System140.622013
Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor70.752013
Limits of Parallelism and Boosting in Dim Silicon40.432013
Assessing the performance limits of parallelized near-threshold computing230.982012
A Reliable Routing Architecture and Algorithm for NoCs100.492012
Bubble Razor: An architecture-independent approach to timing-error detection and correction.422.082012
Centip3De: A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores.90.722012
Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells.7011.392010
In situ delay-slack monitor for high-performance processors using an all-digital self-calibrating 5ps resolution time-to-digital converter211.372010
A highly resilient routing algorithm for fault-tolerant NoCs1283.942009
Reconfigurable Multicore Server Processors for Low Power Operation20.762009
Vicis: a reliable network for unreliable silicon1082.762009