Name
Affiliation
Papers
MILO M. K. MARTIN
Department of Computer and Information Science, University of Pennsylvania
39
Collaborators
Citations 
PageRank 
80
2677
125.22
Referers 
Referees 
References 
4590
1196
781
Search Limit
1001000
Title
Citations
PageRank
Year
CliqueMap: productionizing an RMA-based distributed caching system00.342021
1RMA: Re-envisioning Remote Memory Access for Multi-tenant Datacenters30.392020
Top Picks from the 2015 Computer Architecture Conferences.00.342016
Everything You Want to Know About Pointer-Based Checking.130.552015
Synthesizing Finite-State Protocols from Scenarios and Requirements.120.572014
WatchdogLite: Hardware-Accelerated Compiler-Based Pointer Checking260.802014
Syntax-guided synthesis.150.792013
Utilizing Dark Silicon to Save Energy with Computational Sprinting100.532013
Hardware-Enforced Comprehensive Memory Safety80.432013
Designing for Responsiveness with Computational Sprinting40.362013
Computational sprinting341.392012
Why on-chip cache coherence is here to stay1263.042012
An axiomatic memory model for POWER multiprocessors481.262012
Litmus tests for comparing memory consistency models: how long do they need to be?50.432011
RETCON: transactional repair without replay180.712010
Generating litmus tests for contrasting memory consistency models230.822010
Token tenure and PATCH: A predictive/adaptive token-counting hybrid10.352010
Overcoming an Untrusted Computing Base: Detecting and Removing Malicious Hardware Automatically943.592010
CETS: compiler enforced temporal safety for C1242.882010
SoftBound: highly compatible and complete spatial memory safety for c1874.642009
InvisiFence: performance-transparent memory ordering in conventional multiprocessors601.532009
CheckFence: checking consistency of concurrent data types on relaxed memory models813.722007
Making the fast case common and the uncommon case simple in unbounded transactional memory712.532007
NoSQ: Store-Load Communication without a Store Queue291.082007
Bounded model checking of concurrent data types on relaxed memory models: a case study191.942006
Subtleties of Transactional Memory Atomicity Semantics1034.822006
Improving Multiple-CMP Systems Using Token Coherence546.502005
Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset88540.842005
Scalable Store-Load Forwarding via Store Queue Index Prediction321.062005
Formal Verification and its Impact on the Snooping versus Directory Protocol Debate130.732005
Verifying safety of a token coherence implementation by parametric compositional refinement50.442005
Using destination-set prediction to improve the latency/bandwidth tradeoff in shared-memory multiprocessors702.982003
Token coherence: decoupling performance and correctness1445.462003
Simulating a $2M Commercial Server on a $2K PC707.322003
Token Coherence: A New Framework for Shared-Memory Multiprocessors193.512003
SafetyNet: improving the availability of shared memory multiprocessors with global checkpoint/recovery1637.702002
Specifying and Verifying a Broadcast and a Multicast Snooping Cache Coherence Protocol283.952002
Correctly implementing value prediction in microprocessors that support multithreading or multiprocessing301.942001
Exploiting dead value information502.941997