Name
Papers
Collaborators
HEIKO FALK
57
82
Citations 
PageRank 
Referers 
462
31.54
728
Referees 
References 
833
630
Search Limit
100833
Title
Citations
PageRank
Year
Predicting Objectives on a Reduced Search Space of Multiobjective Function Inlining.00.342021
Compilation for Real-Time Systems a Decade After Predator.00.342021
Compiling for the Worst Case: Memory Allocation for Multi-task and Multi-core Hard Real-time Systems.00.342020
Multi-Criteria Function Inlining for Hard Real-Time Systems00.342020
Compiler-based WCET prediction performing function specialization00.342020
Work-In-Progress: Fine-Grained On-Chip Energy Measurement of a Real-Time Multi-Core Processor00.342020
Multi-Objective Optimization for the Compiler of Real-Time Systems based on Flower Pollination Algorithm00.342019
Favorable adjustment of periods for reduced hyperperiods in real-time systems00.342019
Correction to: A compiler framework for the reduction of worst-case execution times.00.342019
Code-Inherent Traffic Shaping for Hard Real-Time Systems00.342019
Compiler-based code compression for hard real-time systems00.342019
Automated Generation Of Time-Predictable Executables On Multi-Core20.402018
Compiler-based Extraction of Event Arrival Functions for Real-Time Systems Analysis.10.362018
Mitigating Data Cache Aging through Compiler-Driven Memory Allocation.00.342018
Measuring and Modeling Energy Consumption of Embedded Systems for Optimizing Compilers.00.342018
Multi-Criteria Compiler-Based Optimization of Hard Real-Time Systems.20.472018
Bus-Aware Static Instruction SPM Allocation for Multicore Hard Real-Time Systems.20.392017
Schedulability-aware SPM Allocation for preemptive hard real-time systems with arbitrary activation patterns.10.372017
Practical Challenges of ILP-based SPM Allocation Optimizations.20.372016
Cache-Aware Instruction SPM Allocation for Hard Real-Time Systems.20.372016
TACLeBench: A Benchmark Collection to Support Worst-Case Execution Time Research.00.342016
Schedulability Aware WCET-Optimization of Periodic Preemptive Hard Real-Time Multitasking Systems00.342015
Code Optimization of Periodic Preemptive Hard Real-Time Multitasking Systems30.502015
Real-Time Task Scheduling on Island-Based Multi-Core Platforms70.462015
Building timing predictable embedded systems250.802014
A Unified WCET analysis framework for multicore platforms511.452014
Static analysis of multi-core TDMA resource arbitration delays190.682014
Evaluation of resource arbitration methods for multi-core real-time systems.100.562013
A new concept for system-level design of runtime reconfigurable real-time systems10.352013
Simple analysis of partial worst-case execution paths on general control flow graphs50.452013
Reconciling Compilation and Timing Analysis.00.342012
Code-level timing analysis of embedded software: emsoft'12 invited talk session outline00.342012
WCET-aware static locking of instruction caches210.692012
Introduction to the Special Section on SCOPES’0900.342012
Partitioned scheduling for real-time tasks on multiprocessor embedded systems with programmable shared srams30.372012
Approximating Pareto optimal compiler optimization sequences—a trade-off between WCET, ACET and code size40.522011
WCET-driven branch prediction aware code positioning30.402011
A synergetic approach to accurate analysis of cache-related preemption delay60.452011
WCET-aware Register Allocation Based on Integer-Linear Programming70.442011
WCET-driven cache-aware code positioning80.512011
Timing and schedulability analysis for distributed automotive control applications10.352011
Multi-objective Exploration of Compiler Optimizations for Real-Time Systems90.542010
A compiler framework for the reduction of worst-case execution times371.532010
WCET-aware register allocation based on graph coloring160.732009
Optimal static WCET-aware scratchpad allocation of program code471.562009
A Fast and Precise Static Loop Analysis Based on Abstract Interpretation, Program Slicing and Polytope Models331.162009
Communication between nested loop programs via circular buffers in an embedded multiprocessor system80.532008
WCET-driven, code-size critical procedure cloning90.592008
Proceedings of the 11th International Workshop on Software and Compilers for Embedded Systems, Munich, Germany, March 13-14, 200890.962008
WCET-driven Cache-based Procedure Positioning Optimizations261.132008
  • 1
  • 2