Title
An application specific multi-port RAM cell circuit for register renaming units in high speed microprocessors
Abstract
We present a novel custom circuit for superscalar microprocessor renaming unit and compare its performance with a conventional design, referring to an industrial 0.35 µ mC MOS process. Speed and power consumption are significantly improved.
Year
DOI
Venue
2001
10.1109/ISCAS.2001.922392
ISCAS (4)
Keywords
Field
DocType
circuits,pipelines,application specific integrated circuits,registers,decoding,low power electronics
Read-write memory,Computer science,Microprocessor,Application-specific integrated circuit,Electronic engineering,Register renaming,Decoding methods,Electronic circuit,Computer hardware,Energy consumption,Low-power electronics
Conference
Citations 
PageRank 
References 
6
0.91
4
Authors
2
Name
Order
Citations
PageRank
Alessandro De Gloria157077.54
Mauro Olivieri238536.09