Title
Efficient system-on-chip energy management with a segmented bloom filter
Abstract
As applications tend to grow more complex and use more memory, the demand for cache space increases. Thus embedded processors are inclined to use larger caches. Predicting a miss in a long-latency cache becomes crucial in an embedded system-on-chip(SOC) platform to perform microarchitecture-level energy management. Counting Bloom filters are simple and fast structures that can eliminate associative lookup in a huge lookup space. This paper presents an innovative segmented design of the counting Bloom filter which can save SOC energy by detecting misses aiming at a cache level before the memory. The filter presented is successful in filtering out 89% of L2 cache misses and thus helps in reducing L2 accesses by upto 30%. This reduction in L2 Cache accesses and early triggering of energy management processes lead to an overall SOC energy savings by up to 9%.
Year
DOI
Venue
2006
10.1007/11682127_20
ARCS
Keywords
Field
DocType
bloom filter,cache space increase,overall soc energy saving,cache level,segmented bloom filter,energy management process,long-latency cache,soc energy,larger cache,efficient system-on-chip energy management,microarchitecture-level energy management,l2 cache,energy management,system on chip,embedded system,chip
Cache invalidation,Cache pollution,CPU cache,Cache,Computer science,Cache algorithms,Page cache,Real-time computing,Cache coloring,Smart Cache,Embedded system
Conference
Volume
ISSN
ISBN
3894
0302-9743
3-540-32765-7
Citations 
PageRank 
References 
8
0.55
17
Authors
4
Name
Order
Citations
PageRank
Mrinmoy Ghosh136722.39
Emre Özer220418.20
Stuart Biles31537.89
Hsien-Hsin Sean Lee41657102.66