Title
Fred: an architecture for a self-timed decoupled computer.
Abstract
Decoupled computer architectures provide an effective means of exploiting instruction level parallelism. Self-timed micropipeline systems are inherently decoupled due to the elastic nature of the basic FIFO structure, and may be ideally suited for constructing decoupled computer architectures. Fred is a self-timed decoupled, pipelined computer architecture based on micropipelines. We present the architecture of Fred, with specific details on a micropipelined implementation that includes support for multiple functional units and out-of-order instruction completion due to the self-timed decoupling.
Year
DOI
Venue
1996
10.1109/ASYNC.1996.494438
ASYNC
Keywords
Field
DocType
basic fifo structure,elastic nature,self-timed decoupled computer,pipelined computer architecture,out-of-order instruction completion,instruction level parallelism,self-timed micropipeline system,self-timed decoupling,effective mean,micropipelined implementation,decoupled computer architecture,functional unit,computer science,parallel processing,synchronization,out of order,computer architecture
Cellular architecture,Instruction-level parallelism,Computer architecture,Architecture,FIFO (computing and electronics),Computer science,Parallel computing,Decoupling (cosmology)
Conference
ISBN
Citations 
PageRank 
0-8186-7298-6
11
0.88
References 
Authors
17
2
Name
Order
Citations
PageRank
William F. Richardson1151.34
Erik Brunvand250966.09