Title
Quality of service shared cache management in chip multiprocessor architecture
Abstract
The trends in enterprise IT toward service-oriented computing, server consolidation, and virtual computing point to a future in which workloads are becoming increasingly diverse in terms of performance, reliability, and availability requirements. It can be expected that more and more applications with diverse requirements will run on a Chip Multi-Processor (CMP) and share platform resources such as the lowest level cache and off-chip bandwidth. In this environment, it is desirable to have microarchitecture and software support that can provide a guarantee of a certain level of performance, which we refer to as performance Quality of Service. In this article, we investigated a framework would be needed to manage the shared cache resource for fully providing QoS in a CMP. We found in order to fully provide QoS, we need to specify an appropriate QoS target for each job and apply an admission control policy to accept jobs only when their QoS targets can be satisfied. We also found that providing strict QoS often leads to a significant reduction in throughput due to resource fragmentation. We proposed throughput optimization techniques that include: (1) exploiting various QoS execution modes, and (2) a microarchitecture technique, which we refer to as resource stealing, that detects and reallocates excess cache capacity from a job while preserving its QoS target. We designed and evaluated three algorithms for performing resource stealing, which differ in how aggressive they are in stealing excess cache capacity, and in the degree of confidence in meeting QoS targets. In addition, we proposed a mechanism to dynamically enable or disable resource stealing depending on whether other jobs can benefit from additional cache capacity. We evaluated our QoS framework with a full system simulation of a 4-core CMP and a recent version of the Linux Operating System. We found that compared to an unoptimized scheme, the throughput can be improved by up to 47%, making the throughput significantly closer to a non-QoS CMP.
Year
DOI
Venue
2010
10.1145/1880037.1880039
TACO
Keywords
Field
DocType
qos,cache management,cache,chip multi-processors,qos target,additional cache capacity,strict qos,cmp,qos framework,resource stealing,multicore architecture,disable resource,reallocates excess cache capacity,chip multiprocessor architecture,excess cache capacity,performance,various qos execution mode,lowest level cache,appropriate qos target,quality of service,operating system,service oriented computing,satisfiability,chip
Shared memory,Admission control,Computer science,Cache,Parallel computing,Quality of service,Cache algorithms,Real-time computing,Bandwidth (signal processing),Throughput,Microarchitecture
Journal
Volume
Issue
ISSN
7
3
1544-3566
Citations 
PageRank 
References 
6
0.41
22
Authors
4
Name
Order
Citations
PageRank
Fei Guo142819.71
Yan Solihin22057111.56
Li Zhao360434.84
Ravishankar Iyer472035.52