Title
Heterogeneous Latch-Based Asynchronous Pipelines.
Abstract
We present a technique to automatically synthesize heterogeneous asynchronous pipelines by combining two different latching styles: normally open D-latches for high performance and self-resetting D-latches for low power. Theformer is fast but results in high power consumption due to data glitches that leak through the latch when it is open. The latter is normally closed and is opened just before data stabilizes. Thus, it is more power-efficient but slower than normally open D-latches. We propose a module selection optimization that assigns each pipeline stage to one of these two latching styles. This is performed by an automated algorithm that uses two types of heuristics: (1) it uses the Global Critical Path (GCP), to assign D-latches to stages that are sequentially critical, and (2) it estimates potential datapath glitching to make SR-latch assignment decisions. The algorithm has quadratic-time complexity and experiments that apply the algorithm on several media processing kernels indicate that, on average, the heterogeneous pipelining algorithm achieves higher performance and is more energy efficient than either the homogeneous D-latch or SR-latch pipeline styles.
Year
DOI
Venue
2008
10.1109/ASYNC.2008.21
ASYNC
Keywords
Field
DocType
high performance,asynchronous pipelines,self-resetting d-latches,automated algorithm,sr-latch assignment decision,open d-latches,high power consumption,heterogeneous pipelining algorithm,data glitch,sr-latch pipeline style,heterogeneous asynchronous pipeline,kernel,energy efficiency,pipelines,algorithm design and analysis,optimization
Pipeline (computing),Asynchronous communication,Glitch,Datapath,Algorithm design,Computer science,Parallel computing,Heuristics,Critical path method,Energy consumption
Conference
ISSN
Citations 
PageRank 
1522-8681
3
0.44
References 
Authors
24
3
Name
Order
Citations
PageRank
Girish Venkataramani11159.23
Tiberiu Chelcea219515.36
Seth Copen Goldstein31951232.71