Title
Post-silicon platform for the functional diagnosis and debug of networks-on-chip.
Abstract
The increasing number of units in today's systems-on-chip and multicore processors has led to complex intra-chip communication solutions. Specifically, Networks-on-Chip (NoCs) have emerged as a favorable fabric to provide high bandwidth and low latency in connecting many units in a same chip. To achieve these goals, the NoC often includes complex components and advanced features, leading to the development of large and highly complex interconnect subsystems. One of the biggest challenges in these designs is to ensure the correct functionality of this communication infrastructure. To support this goal, an increasing fraction of the validation effort has shifted to post-silicon validation, because it permits exercising network activities that are too complex to be validated in pre-silicon. However, post-silicon validation is hindered by the lack of observability of the network's internal operations and thus, diagnosing functional errors during this phase is very difficult. In this work, we propose a post-silicon validation platform that improves observability of network operations by taking periodic snapshots of the traffic traversing the network. Each node's local cache is configured to temporarily store the snapshot logs in a designated area reserved for post-silicon validation and relinquished after product release. Each snapshot log is analyzed locally by a software algorithm running on its corresponding core, in order to detect functional errors. Upon error detection, all snapshot logs are aggregated at a central location to extract additional debug data, including an overview of network traffic surrounding the error event, as well as a partial reconstruction of the routes followed by packets in flight at the time. In our experiments, we found that this approach allows us to detect several types of functional errors, as well as observe, on average, over 50% of the network's traffic and reconstruct at least half of each of their routes through the network.
Year
DOI
Venue
2014
10.1145/2567936
ACM Trans. Embedded Comput. Syst.
Keywords
Field
DocType
complex intra-chip communication solution,post-silicon validation,network traffic,snapshot log,post-silicon platform,post-silicon validation platform,complex component,network operation,network activity,validation effort,functional diagnosis,functional error,post silicon validation
Observability,Post-silicon validation,Cache,Computer science,Network packet,Real-time computing,Network operations center,Latency (engineering),Snapshot (computer storage),Multi-core processor,Distributed computing
Journal
Volume
Issue
ISSN
13
3s
1539-9087
Citations 
PageRank 
References 
6
0.44
37
Authors
2
Name
Order
Citations
PageRank
Rawan Abdel-Khalek1152.32
Valeria Bertacco2136586.93